annotate modules/bluespec/Pygar/core/audioCorePipeline.bsv @ 42:ced2ebd41347 pygar svn.43

[svn r43] bunch of updates that almost work...
author punk
date Wed, 05 May 2010 01:09:09 -0400
parents 16ba43f0a7c3
children 4d87fa55a776
rev   line source
punk@13 1 // The MIT License
punk@13 2
punk@13 3 // Copyright (c) 2009 Massachusetts Institute of Technology
punk@13 4
punk@13 5 // Permission is hereby granted, free of charge, to any person obtaining a copy
punk@13 6 // of this software and associated documentation files (the "Software"), to deal
punk@13 7 // in the Software without restriction, including without limitation the rights
punk@13 8 // to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
punk@13 9 // copies of the Software, and to permit persons to whom the Software is
punk@13 10 // furnished to do so, subject to the following conditions:
punk@13 11
punk@13 12 // The above copyright notice and this permission notice shall be included in
punk@13 13 // all copies or substantial portions of the Software.
punk@13 14
punk@13 15 // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
punk@13 16 // IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
punk@13 17 // FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
punk@13 18 // AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
punk@13 19 // LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
punk@13 20 // OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
punk@13 21 // THE SOFTWARE.
punk@13 22
punk@13 23 // Author: Kermin Fleming kfleming@mit.edu
punk@13 24
punk@13 25 import Connectable::*;
punk@13 26 import GetPut::*;
punk@13 27 import ClientServer::*;
punk@13 28 import FIFO::*;
punk@15 29 import SpecialFIFOs::*;
punk@13 30
punk@13 31 //AWB includes
punk@13 32 `include "asim/provides/low_level_platform_interface.bsh"
punk@13 33 `include "asim/provides/soft_connections.bsh"
punk@13 34 `include "asim/provides/common_services.bsh"
punk@13 35
punk@13 36 //Local includes
punk@13 37 `include "asim/provides/audio_pipe_types.bsh" //provides Audio Pipeline interface
punk@33 38 `include "asim/provides/path_types.bsh"
punk@13 39 `include "asim/provides/core.bsh"
punk@42 40 //`include "asim/provides/mixer.bsh"
punk@15 41 `include "asim/provides/processor_library.bsh"
punk@15 42 `include "asim/provides/fpga_components.bsh"
punk@33 43 `include "asim/provides/scratchpad_memory.bsh"
punk@33 44 `include "asim/provides/mem_services.bsh"
punk@33 45 `include "asim/dict/VDEV_SCRATCH.bsh"
punk@33 46
punk@13 47 `include "asim/rrr/remote_client_stub_AUDIOCORERRR.bsh"
punk@36 48 `include "asim/rrr/remote_server_stub_AUDIOCORERRR.bsh"
punk@13 49
punk@13 50 module [CONNECTED_MODULE] mkConnectedApplication ();
punk@13 51 Core core <- mkCore;
punk@13 52 Reg#(int) cycle <- mkReg(0);
punk@13 53
punk@39 54 // Reg#(Bit#(32)) ac_fini <- mkReg(0);
punk@39 55
punk@13 56 //External memory
punk@13 57 // I'm not comfortable assuming that the memory subsystem is in order
punk@33 58 // So I'll insert a completion buffer here.
punk@33 59 MEMORY_IFC#(Bit#(18), Bit#(32)) memory <- mkScratchpad(`VDEV_SCRATCH_MEMORY, SCRATCHPAD_CACHED); //Services Memory items
punk@33 60
punk@33 61 // Services Samples
punk@15 62 ClientStub_AUDIOCORERRR client_stub <- mkClientStub_AUDIOCORERRR();
punk@13 63 // Make this big enough so that several outstanding requests may be supported
punk@13 64 FIFO#(Bit#(MainMemTagSz)) tags <- mkSizedFIFO(8);
punk@13 65
punk@36 66 //-----------------------------------------------------------
punk@36 67 // Debug port
punk@36 68
punk@36 69 ServerStub_AUDIOCORERRR server_stub <- mkServerStub_AUDIOCORERRR();
punk@36 70
punk@36 71
punk@13 72 // this is for the tracing
punk@13 73 rule printCycles;
punk@13 74 cycle <= cycle+1;
punk@13 75 $fdisplay(stderr, " => Cycle = %d", cycle);
punk@13 76 endrule
punk@13 77
punk@13 78 rule sendMemReq;
punk@13 79 let coreReq <- core.mmem_client.request.get;
punk@13 80 case (coreReq) matches
punk@13 81 tagged LoadReq .load: begin
punk@42 82 $display("PIPE Load Addr Req %x", load.addr);
punk@13 83 //Allocate ROB space
punk@33 84 memory.readReq(truncate(load.addr>>2));
punk@13 85 tags.enq(load.tag);
punk@13 86 end
punk@42 87 tagged StoreReq .store: begin
punk@42 88 $display("PIPE Write Addr Req %x", store.addr);
punk@33 89 memory.write(truncate(store.addr>>2),store.data);
punk@13 90 end
punk@13 91 endcase
punk@13 92 endrule
punk@13 93
punk@13 94 rule receiveMemResp;
punk@33 95 let memResp <- memory.readRsp();
punk@13 96 tags.deq;
punk@13 97 core.mmem_client.response.put(tagged LoadResp {data:memResp,
punk@13 98 tag: tags.first});
punk@42 99 $display("PIPE Receive MemReq %x", memResp);
punk@13 100 endrule
punk@13 101
punk@13 102 rule feedOutput;
punk@13 103 let pipelineData <- core.sampleOutput.get();
punk@13 104 AudioProcessorControl endOfFileTag = EndOfFile;
punk@13 105 AudioProcessorControl sampleTag = Data;
punk@13 106
punk@25 107 case (pipelineData) matches
punk@25 108 tagged EndOfFile:
punk@15 109 client_stub.makeRequest_SendProcessedStream(zeroExtend(pack(endOfFileTag)),?);
punk@25 110 tagged Sample .sample:client_stub.makeRequest_SendProcessedStream(zeroExtend(pack(sampleTag)), zeroExtend(pack(sample)));
punk@25 111 endcase
punk@13 112 endrule
punk@13 113
punk@36 114 //***** SERVER Side *****
punk@36 115
punk@39 116 /* (* conservative_implicit_conditions *)
punk@37 117 rule handleCPUToHost;
punk@37 118 let req <- server_stub.acceptRequest_ReadCPUToHost();
punk@37 119 case (req)
punk@37 120 0: server_stub.sendResponse_ReadCPUToHost(cp0_tohost);
punk@37 121 endcase
punk@37 122 endrule
punk@39 123 */
punk@36 124 rule feedInput;
punk@36 125 let command <- server_stub.acceptRequest_SendUnprocessedStream();
punk@36 126 AudioProcessorControl ctrl = unpack(truncate(command.ctrl));
rlm@41 127
rlm@41 128 Bit#(32) test = unpack(truncate(command.channel));
rlm@41 129 $display("rlm: %x", test);
rlm@41 130
rlm@41 131
rlm@41 132 if(ctrl == EndOfFile)
punk@36 133 begin
punk@36 134 $display("lsp: PROCESSOR received EOF ");
punk@36 135 core.sampleInput.put(tagged EndOfFile);
punk@36 136 end
punk@36 137 else
punk@36 138 begin
punk@36 139 $display("lsp: PROCESSOR received Data ");
punk@36 140 core.sampleInput.put(tagged Sample unpack(truncate(command.sample)));
punk@36 141 end
punk@36 142 endrule
punk@13 143 endmodule