changeset 46:adcfa79d2c67 pygar svn.47

[svn r47] thru.c vmh which forwards sample
author punk
date Wed, 05 May 2010 12:43:51 -0400
parents d5c33f1c8840
children 97d1959f7c5c
files documents/thru.smips.vmh documents/vvadd.smips.vmh
diffstat 2 files changed, 1126 insertions(+), 0 deletions(-) [+]
line wrap: on
line diff
     1.1 --- /dev/null	Thu Jan 01 00:00:00 1970 +0000
     1.2 +++ b/documents/thru.smips.vmh	Wed May 05 12:43:51 2010 -0400
     1.3 @@ -0,0 +1,90 @@
     1.4 +
     1.5 +@400      // <__start>
     1.6 +3c1d0002  // 00001000 lui $sp,0x2
     1.7 +0c00042c  // 00001004 jal 10b0 <main>
     1.8 +00000000  // 00001008 nop
     1.9 +00000000  // 0000100c nop
    1.10 +
    1.11 +@404      // <verify>
    1.12 +24020001  // 00001010 li $v0,1
    1.13 +03e00008  // 00001014 jr $ra
    1.14 +
    1.15 +@406      // <finishTest>
    1.16 +4084a800  // 00001018 mtc0 $a0,$21
    1.17 +08000407  // 0000101c j 101c <finishTest+4>
    1.18 +00000000  // 00001020 nop
    1.19 +
    1.20 +@409      // <setStats>
    1.21 +40845000  // 00001024 mtc0 $a0,$10
    1.22 +03e00008  // 00001028 jr $ra
    1.23 +00000000  // 0000102c nop
    1.24 +
    1.25 +@40c      // <setComp>
    1.26 +24020001  // 00001030 li $v0,1
    1.27 +4082d000  // 00001034 mtc0 $v0,$26
    1.28 +03e00008  // 00001038 jr $ra
    1.29 +00000000  // 0000103c nop
    1.30 +
    1.31 +@410      // <getSample>
    1.32 +4003c800  // 00001040 mfc0 $v1,$25
    1.33 +24020001  // 00001044 li $v0,1
    1.34 +10620004  // 00001048 beq $v1,$v0,105c <getSample+1c>
    1.35 +4005e000  // 0000104c mfc0 $a1,$28
    1.36 +00000000  // 00001050 nop
    1.37 +ac850000  // 00001054 sw $a1,0($a0)
    1.38 +03e00008  // 00001058 jr $ra
    1.39 +ac800000  // 0000105c sw $zero,0($a0)
    1.40 +00001021  // 00001060 move $v0,$zero
    1.41 +03e00008  // 00001064 jr $ra
    1.42 +
    1.43 +@41a      // <putSample>
    1.44 +4084d800  // 00001068 mtc0 $a0,$27
    1.45 +03e00008  // 0000106c jr $ra
    1.46 +00000000  // 00001070 nop
    1.47 +
    1.48 +@41d      // <runWithStats>
    1.49 +27bdffa8  // 00001074 addiu $sp,$sp,-88
    1.50 +afbf0050  // 00001078 sw $ra,80($sp)
    1.51 +0c000409  // 0000107c jal 1024 <setStats>
    1.52 +27a40010  // 00001080 addiu $a0,$sp,16
    1.53 +0c000410  // 00001084 jal 1040 <getSample>
    1.54 +00021400  // 00001088 sll $v0,$v0,0x10
    1.55 +10400003  // 0000108c beqz $v0,109c <runWithStats+28>
    1.56 +8fa40010  // 00001090 lw $a0,16($sp)
    1.57 +0c00041a  // 00001094 jal 1068 <putSample>
    1.58 +08000420  // 00001098 j 1080 <runWithStats+c>
    1.59 +00002021  // 0000109c move $a0,$zero
    1.60 +0c000409  // 000010a0 jal 1024 <setStats>
    1.61 +8fbf0050  // 000010a4 lw $ra,80($sp)
    1.62 +27bd0058  // 000010a8 addiu $sp,$sp,88
    1.63 +03e00008  // 000010ac jr $ra
    1.64 +
    1.65 +@42c      // <main>
    1.66 +27bdffe8  // 000010b0 addiu $sp,$sp,-24
    1.67 +24040001  // 000010b4 li $a0,1
    1.68 +afbf0010  // 000010b8 sw $ra,16($sp)
    1.69 +0c00041d  // 000010bc jal 1074 <runWithStats>
    1.70 +0c000404  // 000010c0 jal 1010 <verify>
    1.71 +00402021  // 000010c4 move $a0,$v0
    1.72 +0c000406  // 000010c8 jal 1018 <finishTest>
    1.73 +0c00040c  // 000010cc jal 1030 <setComp>
    1.74 +8fbf0010  // 000010d0 lw $ra,16($sp)
    1.75 +27bd0018  // 000010d4 addiu $sp,$sp,24
    1.76 +03e00008  // 000010d8 jr $ra
    1.77 +00000000  // 000010dc nop
    1.78 +
    1.79 +@438      // <_heapend>
    1.80 +00000000  // 000010e0 nop
    1.81 +
    1.82 +@439      // <environ>
    1.83 +00000000  // 000010e4 nop
    1.84 +00000000  // 000010e8 nop
    1.85 +00000000  // 000010ec nop
    1.86 +
    1.87 +@43c      // <.reginfo>
    1.88 +a000003c  // 000010f0 sb $zero,60($zero)
    1.89 +00000000  // 000010f4 nop
    1.90 +00000000  // 000010f8 nop
    1.91 +00000000  // 000010fc nop
    1.92 +00000000  // 00001100 nop
    1.93 +000090d0  // 00001104 0x90d0
     2.1 --- /dev/null	Thu Jan 01 00:00:00 1970 +0000
     2.2 +++ b/documents/vvadd.smips.vmh	Wed May 05 12:43:51 2010 -0400
     2.3 @@ -0,0 +1,1036 @@
     2.4 +
     2.5 +@400      // <__start>
     2.6 +3c1d0002  // 00001000 lui $sp,0x2
     2.7 +0c00043e  // 00001004 jal 10f8 <main>
     2.8 +00000000  // 00001008 nop
     2.9 +00000000  // 0000100c nop
    2.10 +
    2.11 +@404      // <verify>
    2.12 +00003821  // 00001010 move $a3,$zero
    2.13 +1880000a  // 00001014 blez $a0,1040 <verify+30>
    2.14 +8ca30000  // 00001018 lw $v1,0($a1)
    2.15 +8cc20000  // 0000101c lw $v0,0($a2)
    2.16 +10620002  // 00001020 beq $v1,$v0,102c <verify+1c>
    2.17 +24020002  // 00001024 li $v0,2
    2.18 +03e00008  // 00001028 jr $ra
    2.19 +24c60004  // 0000102c addiu $a2,$a2,4
    2.20 +24a50004  // 00001030 addiu $a1,$a1,4
    2.21 +24e70001  // 00001034 addiu $a3,$a3,1
    2.22 +00e4102a  // 00001038 slt $v0,$a3,$a0
    2.23 +1440fff6  // 0000103c bnez $v0,1018 <verify+8>
    2.24 +24020001  // 00001040 li $v0,1
    2.25 +03e00008  // 00001044 jr $ra
    2.26 +
    2.27 +@412      // <finishTest>
    2.28 +4084a800  // 00001048 mtc0 $a0,$21
    2.29 +08000413  // 0000104c j 104c <finishTest+4>
    2.30 +00000000  // 00001050 nop
    2.31 +
    2.32 +@415      // <setStats>
    2.33 +40845000  // 00001054 mtc0 $a0,$10
    2.34 +03e00008  // 00001058 jr $ra
    2.35 +00000000  // 0000105c nop
    2.36 +
    2.37 +@418      // <vvadd>
    2.38 +00004021  // 00001060 move $t0,$zero
    2.39 +1880000a  // 00001064 blez $a0,1090 <vvadd+30>
    2.40 +8cc30000  // 00001068 lw $v1,0($a2)
    2.41 +24c60004  // 0000106c addiu $a2,$a2,4
    2.42 +8ca20000  // 00001070 lw $v0,0($a1)
    2.43 +24a50004  // 00001074 addiu $a1,$a1,4
    2.44 +25080001  // 00001078 addiu $t0,$t0,1
    2.45 +00431021  // 0000107c addu $v0,$v0,$v1
    2.46 +ace20000  // 00001080 sw $v0,0($a3)
    2.47 +24e70004  // 00001084 addiu $a3,$a3,4
    2.48 +0104102a  // 00001088 slt $v0,$t0,$a0
    2.49 +1440fff6  // 0000108c bnez $v0,1068 <vvadd+8>
    2.50 +03e00008  // 00001090 jr $ra
    2.51 +
    2.52 +@425      // <runWithStats>
    2.53 +27bdffd8  // 00001094 addiu $sp,$sp,-40
    2.54 +afb00010  // 00001098 sw $s0,16($sp)
    2.55 +8fb00038  // 0000109c lw $s0,56($sp)
    2.56 +afb10014  // 000010a0 sw $s1,20($sp)
    2.57 +00a08821  // 000010a4 move $s1,$a1
    2.58 +afb20018  // 000010a8 sw $s2,24($sp)
    2.59 +00c09021  // 000010ac move $s2,$a2
    2.60 +afb3001c  // 000010b0 sw $s3,28($sp)
    2.61 +00e09821  // 000010b4 move $s3,$a3
    2.62 +afbf0020  // 000010b8 sw $ra,32($sp)
    2.63 +0c000415  // 000010bc jal 1054 <setStats>
    2.64 +02202021  // 000010c0 move $a0,$s1
    2.65 +02402821  // 000010c4 move $a1,$s2
    2.66 +02603021  // 000010c8 move $a2,$s3
    2.67 +02003821  // 000010cc move $a3,$s0
    2.68 +0c000418  // 000010d0 jal 1060 <vvadd>
    2.69 +00002021  // 000010d4 move $a0,$zero
    2.70 +0c000415  // 000010d8 jal 1054 <setStats>
    2.71 +8fbf0020  // 000010dc lw $ra,32($sp)
    2.72 +8fb3001c  // 000010e0 lw $s3,28($sp)
    2.73 +8fb20018  // 000010e4 lw $s2,24($sp)
    2.74 +8fb10014  // 000010e8 lw $s1,20($sp)
    2.75 +8fb00010  // 000010ec lw $s0,16($sp)
    2.76 +27bd0028  // 000010f0 addiu $sp,$sp,40
    2.77 +03e00008  // 000010f4 jr $ra
    2.78 +
    2.79 +@43e      // <main>
    2.80 +27bdfb18  // 000010f8 addiu $sp,$sp,-1256
    2.81 +00002021  // 000010fc move $a0,$zero
    2.82 +2405012c  // 00001100 li $a1,300
    2.83 +afb204e0  // 00001104 sw $s2,1248($sp)
    2.84 +3c120000  // 00001108 lui $s2,0x0
    2.85 +26521190  // 0000110c addiu $s2,$s2,4496
    2.86 +02403021  // 00001110 move $a2,$s2
    2.87 +afb104dc  // 00001114 sw $s1,1244($sp)
    2.88 +3c110000  // 00001118 lui $s1,0x0
    2.89 +26311640  // 0000111c addiu $s1,$s1,5696
    2.90 +02203821  // 00001120 move $a3,$s1
    2.91 +afb004d8  // 00001124 sw $s0,1240($sp)
    2.92 +27b00018  // 00001128 addiu $s0,$sp,24
    2.93 +afbf04e4  // 0000112c sw $ra,1252($sp)
    2.94 +afb00010  // 00001130 sw $s0,16($sp)
    2.95 +0c000425  // 00001134 jal 1094 <runWithStats>
    2.96 +24040001  // 00001138 li $a0,1
    2.97 +2405012c  // 0000113c li $a1,300
    2.98 +02403021  // 00001140 move $a2,$s2
    2.99 +02203821  // 00001144 move $a3,$s1
   2.100 +afb00010  // 00001148 sw $s0,16($sp)
   2.101 +0c000425  // 0000114c jal 1094 <runWithStats>
   2.102 +2404012c  // 00001150 li $a0,300
   2.103 +02002821  // 00001154 move $a1,$s0
   2.104 +3c060000  // 00001158 lui $a2,0x0
   2.105 +24c61af0  // 0000115c addiu $a2,$a2,6896
   2.106 +0c000404  // 00001160 jal 1010 <verify>
   2.107 +00402021  // 00001164 move $a0,$v0
   2.108 +0c000412  // 00001168 jal 1048 <finishTest>
   2.109 +8fbf04e4  // 0000116c lw $ra,1252($sp)
   2.110 +8fb204e0  // 00001170 lw $s2,1248($sp)
   2.111 +8fb104dc  // 00001174 lw $s1,1244($sp)
   2.112 +8fb004d8  // 00001178 lw $s0,1240($sp)
   2.113 +27bd04e8  // 0000117c addiu $sp,$sp,1256
   2.114 +03e00008  // 00001180 jr $ra
   2.115 +00000000  // 00001184 nop
   2.116 +00000000  // 00001188 nop
   2.117 +00000000  // 0000118c nop
   2.118 +
   2.119 +@464      // <_fdata>
   2.120 +00000029  // 00001190 dmadd16 $zero,$zero
   2.121 +00000341  // 00001194 0x341
   2.122 +00000234  // 00001198 teq $zero,$zero
   2.123 +000000bb  // 0000119c dsra $zero,$zero,0x2
   2.124 +000002ed  // 000011a0 0x2ed
   2.125 +0000015e  // 000011a4 0x15e
   2.126 +00000084  // 000011a8 0x84
   2.127 +000003b5  // 000011ac 0x3b5
   2.128 +00000248  // 000011b0 0x248
   2.129 +00000325  // 000011b4 0x325
   2.130 +0000026d  // 000011b8 0x26d
   2.131 +00000006  // 000011bc srlv $zero,$zero,$zero
   2.132 +000003a3  // 000011c0 0x3a3
   2.133 +0000037a  // 000011c4 dsrl $zero,$zero,0xd
   2.134 +00000188  // 000011c8 0x188
   2.135 +000002b6  // 000011cc tne $zero,$zero
   2.136 +000003c1  // 000011d0 0x3c1
   2.137 +0000006e  // 000011d4 0x6e
   2.138 +00000074  // 000011d8 teq $zero,$zero
   2.139 +00000128  // 000011dc 0x128
   2.140 +000001aa  // 000011e0 0x1aa
   2.141 +0000013a  // 000011e4 dsrl $zero,$zero,0x4
   2.142 +00000293  // 000011e8 0x293
   2.143 +00000306  // 000011ec 0x306
   2.144 +0000013f  // 000011f0 dsra32 $zero,$zero,0x4
   2.145 +000002a6  // 000011f4 0x2a6
   2.146 +0000036b  // 000011f8 0x36b
   2.147 +00000178  // 000011fc dsll $zero,$zero,0x5
   2.148 +000001da  // 00001200 0x1da
   2.149 +000003aa  // 00001204 0x3aa
   2.150 +0000021b  // 00001208 0x21b
   2.151 +00000239  // 0000120c 0x239
   2.152 +000000cb  // 00001210 0xcb
   2.153 +00000118  // 00001214 0x118
   2.154 +000002f7  // 00001218 0x2f7
   2.155 +0000025e  // 0000121c 0x25e
   2.156 +000001ff  // 00001220 dsra32 $zero,$zero,0x7
   2.157 +00000291  // 00001224 0x291
   2.158 +000000c3  // 00001228 sra $zero,$zero,0x3
   2.159 +00000051  // 0000122c 0x51
   2.160 +0000010b  // 00001230 0x10b
   2.161 +000000e5  // 00001234 0xe5
   2.162 +00000151  // 00001238 0x151
   2.163 +000003b0  // 0000123c tge $zero,$zero
   2.164 +00000386  // 00001240 0x386
   2.165 +000000f1  // 00001244 tgeu $zero,$zero
   2.166 +00000391  // 00001248 0x391
   2.167 +0000033a  // 0000124c dsrl $zero,$zero,0xc
   2.168 +000003a5  // 00001250 0x3a5
   2.169 +000003d9  // 00001254 0x3d9
   2.170 +000000c3  // 00001258 sra $zero,$zero,0x3
   2.171 +000003c0  // 0000125c sll $zero,$zero,0xf
   2.172 +00000236  // 00001260 tne $zero,$zero
   2.173 +0000015e  // 00001264 0x15e
   2.174 +00000289  // 00001268 0x289
   2.175 +00000291  // 0000126c 0x291
   2.176 +000000b5  // 00001270 0xb5
   2.177 +0000006f  // 00001274 0x6f
   2.178 +0000035b  // 00001278 0x35b
   2.179 +00000041  // 0000127c 0x41
   2.180 +00000120  // 00001280 0x120
   2.181 +0000015d  // 00001284 0x15d
   2.182 +0000008d  // 00001288 break 0x0
   2.183 +00000389  // 0000128c 0x389
   2.184 +00000376  // 00001290 tne $zero,$zero
   2.185 +00000108  // 00001294 0x108
   2.186 +00000240  // 00001298 sll $zero,$zero,0x9
   2.187 +000003d3  // 0000129c 0x3d3
   2.188 +000002f9  // 000012a0 0x2f9
   2.189 +000000f1  // 000012a4 tgeu $zero,$zero
   2.190 +000001de  // 000012a8 0x1de
   2.191 +000001f3  // 000012ac tltu $zero,$zero
   2.192 +00000193  // 000012b0 0x193
   2.193 +000000de  // 000012b4 0xde
   2.194 +000001bc  // 000012b8 dsll32 $zero,$zero,0x6
   2.195 +000002d1  // 000012bc 0x2d1
   2.196 +000002a4  // 000012c0 0x2a4
   2.197 +0000013d  // 000012c4 0x13d
   2.198 +000000e0  // 000012c8 0xe0
   2.199 +000003a9  // 000012cc 0x3a9
   2.200 +00000120  // 000012d0 0x120
   2.201 +00000077  // 000012d4 0x77
   2.202 +00000267  // 000012d8 0x267
   2.203 +0000025e  // 000012dc 0x25e
   2.204 +00000185  // 000012e0 0x185
   2.205 +0000015f  // 000012e4 0x15f
   2.206 +000001c7  // 000012e8 0x1c7
   2.207 +00000116  // 000012ec 0x116
   2.208 +0000016f  // 000012f0 0x16f
   2.209 +00000166  // 000012f4 0x166
   2.210 +00000248  // 000012f8 0x248
   2.211 +0000003e  // 000012fc dsrl32 $zero,$zero,0x0
   2.212 +000003d9  // 00001300 0x3d9
   2.213 +00000193  // 00001304 0x193
   2.214 +0000015a  // 00001308 0x15a
   2.215 +00000205  // 0000130c 0x205
   2.216 +0000022f  // 00001310 0x22f
   2.217 +0000038c  // 00001314 syscall 0xe
   2.218 +00000307  // 00001318 0x307
   2.219 +000000ff  // 0000131c dsra32 $zero,$zero,0x3
   2.220 +0000030a  // 00001320 0x30a
   2.221 +00000256  // 00001324 0x256
   2.222 +0000008f  // 00001328 0x8f
   2.223 +00000021  // 0000132c move $zero,$zero
   2.224 +0000007d  // 00001330 0x7d
   2.225 +000003ad  // 00001334 0x3ad
   2.226 +000003a5  // 00001338 0x3a5
   2.227 +0000031f  // 0000133c 0x31f
   2.228 +00000229  // 00001340 0x229
   2.229 +000001af  // 00001344 0x1af
   2.230 +00000288  // 00001348 0x288
   2.231 +000003b8  // 0000134c dsll $zero,$zero,0xe
   2.232 +0000011f  // 00001350 0x11f
   2.233 +00000013  // 00001354 mtlo $zero
   2.234 +00000031  // 00001358 tgeu $zero,$zero
   2.235 +00000056  // 0000135c 0x56
   2.236 +0000005f  // 00001360 0x5f
   2.237 +000001b9  // 00001364 0x1b9
   2.238 +0000024b  // 00001368 0x24b
   2.239 +00000266  // 0000136c 0x266
   2.240 +0000017e  // 00001370 dsrl32 $zero,$zero,0x5
   2.241 +00000118  // 00001374 0x118
   2.242 +00000328  // 00001378 0x328
   2.243 +000003cb  // 0000137c 0x3cb
   2.244 +00000333  // 00001380 tltu $zero,$zero
   2.245 +00000158  // 00001384 0x158
   2.246 +000001c2  // 00001388 srl $zero,$zero,0x7
   2.247 +00000200  // 0000138c sll $zero,$zero,0x8
   2.248 +000003c5  // 00001390 0x3c5
   2.249 +0000015b  // 00001394 0x15b
   2.250 +00000328  // 00001398 0x328
   2.251 +00000372  // 0000139c tlt $zero,$zero
   2.252 +00000219  // 000013a0 0x219
   2.253 +000003b2  // 000013a4 tlt $zero,$zero
   2.254 +000002bd  // 000013a8 0x2bd
   2.255 +00000164  // 000013ac 0x164
   2.256 +00000237  // 000013b0 0x237
   2.257 +0000037b  // 000013b4 dsra $zero,$zero,0xd
   2.258 +00000016  // 000013b8 dsrlv $zero,$zero,$zero
   2.259 +00000238  // 000013bc dsll $zero,$zero,0x8
   2.260 +00000299  // 000013c0 0x299
   2.261 +000001a7  // 000013c4 0x1a7
   2.262 +000001b2  // 000013c8 tlt $zero,$zero
   2.263 +0000009e  // 000013cc 0x9e
   2.264 +00000002  // 000013d0 srl $zero,$zero,0x0
   2.265 +00000054  // 000013d4 0x54
   2.266 +000000f7  // 000013d8 0xf7
   2.267 +00000031  // 000013dc tgeu $zero,$zero
   2.268 +000001b3  // 000013e0 tltu $zero,$zero
   2.269 +00000318  // 000013e4 0x318
   2.270 +00000365  // 000013e8 0x365
   2.271 +000001e6  // 000013ec 0x1e6
   2.272 +0000019e  // 000013f0 0x19e
   2.273 +00000171  // 000013f4 tgeu $zero,$zero
   2.274 +00000224  // 000013f8 0x224
   2.275 +00000206  // 000013fc 0x206
   2.276 +00000378  // 00001400 dsll $zero,$zero,0xd
   2.277 +000002aa  // 00001404 0x2aa
   2.278 +0000011c  // 00001408 0x11c
   2.279 +00000108  // 0000140c 0x108
   2.280 +000001f3  // 00001410 tltu $zero,$zero
   2.281 +00000122  // 00001414 0x122
   2.282 +00000381  // 00001418 0x381
   2.283 +000000d7  // 0000141c 0xd7
   2.284 +000002db  // 00001420 0x2db
   2.285 +000002b0  // 00001424 tge $zero,$zero
   2.286 +000000fb  // 00001428 dsra $zero,$zero,0x3
   2.287 +00000312  // 0000142c 0x312
   2.288 +0000022b  // 00001430 0x22b
   2.289 +0000012e  // 00001434 0x12e
   2.290 +00000210  // 00001438 0x210
   2.291 +00000220  // 0000143c 0x220
   2.292 +00000142  // 00001440 srl $zero,$zero,0x5
   2.293 +000003b3  // 00001444 tltu $zero,$zero
   2.294 +0000011f  // 00001448 0x11f
   2.295 +00000338  // 0000144c dsll $zero,$zero,0xc
   2.296 +00000130  // 00001450 tge $zero,$zero
   2.297 +00000314  // 00001454 0x314
   2.298 +000002dd  // 00001458 0x2dd
   2.299 +000003bf  // 0000145c dsra32 $zero,$zero,0xe
   2.300 +0000016e  // 00001460 0x16e
   2.301 +000002d2  // 00001464 0x2d2
   2.302 +00000126  // 00001468 0x126
   2.303 +000003cf  // 0000146c 0x3cf
   2.304 +0000028d  // 00001470 break 0x0
   2.305 +000002ec  // 00001474 0x2ec
   2.306 +0000005b  // 00001478 0x5b
   2.307 +0000017a  // 0000147c dsrl $zero,$zero,0x5
   2.308 +00000069  // 00001480 0x69
   2.309 +00000066  // 00001484 0x66
   2.310 +0000017d  // 00001488 0x17d
   2.311 +0000028b  // 0000148c 0x28b
   2.312 +00000339  // 00001490 0x339
   2.313 +00000348  // 00001494 0x348
   2.314 +00000164  // 00001498 0x164
   2.315 +00000094  // 0000149c 0x94
   2.316 +00000036  // 000014a0 tne $zero,$zero
   2.317 +0000008c  // 000014a4 syscall 0x2
   2.318 +000003bb  // 000014a8 dsra $zero,$zero,0xe
   2.319 +00000157  // 000014ac 0x157
   2.320 +00000215  // 000014b0 0x215
   2.321 +000002f5  // 000014b4 0x2f5
   2.322 +00000209  // 000014b8 0x209
   2.323 +00000345  // 000014bc 0x345
   2.324 +00000250  // 000014c0 0x250
   2.325 +0000000d  // 000014c4 break
   2.326 +000000ad  // 000014c8 0xad
   2.327 +0000003f  // 000014cc dsra32 $zero,$zero,0x0
   2.328 +00000079  // 000014d0 0x79
   2.329 +00000085  // 000014d4 0x85
   2.330 +000002f6  // 000014d8 tne $zero,$zero
   2.331 +00000174  // 000014dc teq $zero,$zero
   2.332 +000003b7  // 000014e0 0x3b7
   2.333 +00000027  // 000014e4 nor $zero,$zero,$zero
   2.334 +00000081  // 000014e8 0x81
   2.335 +0000006e  // 000014ec 0x6e
   2.336 +0000034f  // 000014f0 0x34f
   2.337 +000001b5  // 000014f4 0x1b5
   2.338 +000000ff  // 000014f8 dsra32 $zero,$zero,0x3
   2.339 +0000010d  // 000014fc break 0x0
   2.340 +00000199  // 00001500 0x199
   2.341 +00000274  // 00001504 teq $zero,$zero
   2.342 +0000018f  // 00001508 0x18f
   2.343 +00000225  // 0000150c 0x225
   2.344 +000002f1  // 00001510 tgeu $zero,$zero
   2.345 +00000234  // 00001514 teq $zero,$zero
   2.346 +000000ab  // 00001518 0xab
   2.347 +00000013  // 0000151c mtlo $zero
   2.348 +000002d7  // 00001520 0x2d7
   2.349 +000001f5  // 00001524 0x1f5
   2.350 +00000309  // 00001528 0x309
   2.351 +0000002b  // 0000152c sltu $zero,$zero,$zero
   2.352 +000002f1  // 00001530 tgeu $zero,$zero
   2.353 +00000051  // 00001534 0x51
   2.354 +000000ca  // 00001538 0xca
   2.355 +00000355  // 0000153c 0x355
   2.356 +00000099  // 00001540 0x99
   2.357 +000002f8  // 00001544 dsll $zero,$zero,0xb
   2.358 +00000165  // 00001548 0x165
   2.359 +000003af  // 0000154c 0x3af
   2.360 +0000039a  // 00001550 0x39a
   2.361 +00000148  // 00001554 0x148
   2.362 +000001f0  // 00001558 tge $zero,$zero
   2.363 +000001ba  // 0000155c dsrl $zero,$zero,0x6
   2.364 +00000204  // 00001560 0x204
   2.365 +00000281  // 00001564 0x281
   2.366 +00000114  // 00001568 0x114
   2.367 +00000312  // 0000156c 0x312
   2.368 +00000071  // 00001570 tgeu $zero,$zero
   2.369 +0000034a  // 00001574 0x34a
   2.370 +0000038b  // 00001578 0x38b
   2.371 +00000113  // 0000157c 0x113
   2.372 +000000ed  // 00001580 0xed
   2.373 +00000020  // 00001584 add $zero,$zero,$zero
   2.374 +00000310  // 00001588 0x310
   2.375 +00000235  // 0000158c 0x235
   2.376 +00000165  // 00001590 0x165
   2.377 +00000323  // 00001594 0x323
   2.378 +00000333  // 00001598 tltu $zero,$zero
   2.379 +000002ef  // 0000159c 0x2ef
   2.380 +00000118  // 000015a0 0x118
   2.381 +00000055  // 000015a4 0x55
   2.382 +000001ca  // 000015a8 0x1ca
   2.383 +000001c6  // 000015ac 0x1c6
   2.384 +000002c6  // 000015b0 0x2c6
   2.385 +000001cb  // 000015b4 0x1cb
   2.386 +00000029  // 000015b8 dmadd16 $zero,$zero
   2.387 +000000fd  // 000015bc 0xfd
   2.388 +00000179  // 000015c0 0x179
   2.389 +000001fc  // 000015c4 dsll32 $zero,$zero,0x7
   2.390 +000002bc  // 000015c8 dsll32 $zero,$zero,0xa
   2.391 +0000035c  // 000015cc 0x35c
   2.392 +000001e0  // 000015d0 0x1e0
   2.393 +000002e5  // 000015d4 0x2e5
   2.394 +000001f3  // 000015d8 tltu $zero,$zero
   2.395 +000002c5  // 000015dc 0x2c5
   2.396 +00000031  // 000015e0 tgeu $zero,$zero
   2.397 +00000173  // 000015e4 tltu $zero,$zero
   2.398 +00000369  // 000015e8 0x369
   2.399 +000003b1  // 000015ec tgeu $zero,$zero
   2.400 +000003e0  // 000015f0 0x3e0
   2.401 +0000020e  // 000015f4 0x20e
   2.402 +000002d1  // 000015f8 0x2d1
   2.403 +000001b3  // 000015fc tltu $zero,$zero
   2.404 +000000e8  // 00001600 0xe8
   2.405 +000001f1  // 00001604 tgeu $zero,$zero
   2.406 +000002b9  // 00001608 0x2b9
   2.407 +0000001e  // 0000160c ddiv $zero,$zero,$zero
   2.408 +0000015c  // 00001610 0x15c
   2.409 +000000fa  // 00001614 dsrl $zero,$zero,0x3
   2.410 +0000015e  // 00001618 0x15e
   2.411 +000000fa  // 0000161c dsrl $zero,$zero,0x3
   2.412 +0000023d  // 00001620 0x23d
   2.413 +00000310  // 00001624 0x310
   2.414 +000002ed  // 00001628 0x2ed
   2.415 +000001f6  // 0000162c tne $zero,$zero
   2.416 +00000337  // 00001630 0x337
   2.417 +0000033a  // 00001634 dsrl $zero,$zero,0xc
   2.418 +000000aa  // 00001638 0xaa
   2.419 +000000a0  // 0000163c 0xa0
   2.420 +
   2.421 +@590      // <input2_data>
   2.422 +000001c6  // 00001640 0x1c6
   2.423 +0000014f  // 00001644 0x14f
   2.424 +00000001  // 00001648 movf $zero,$zero,$fcc0
   2.425 +000003dd  // 0000164c 0x3dd
   2.426 +0000016d  // 00001650 0x16d
   2.427 +0000023c  // 00001654 dsll32 $zero,$zero,0x8
   2.428 +00000040  // 00001658 sll $zero,$zero,0x1
   2.429 +00000099  // 0000165c 0x99
   2.430 +000000d8  // 00001660 0xd8
   2.431 +0000008c  // 00001664 syscall 0x2
   2.432 +000000d2  // 00001668 0xd2
   2.433 +0000023c  // 0000166c dsll32 $zero,$zero,0x8
   2.434 +00000153  // 00001670 0x153
   2.435 +00000251  // 00001674 0x251
   2.436 +00000382  // 00001678 srl $zero,$zero,0xe
   2.437 +000000e4  // 0000167c 0xe4
   2.438 +0000000c  // 00001680 syscall
   2.439 +00000373  // 00001684 tltu $zero,$zero
   2.440 +000002ee  // 00001688 0x2ee
   2.441 +00000286  // 0000168c 0x286
   2.442 +000001f4  // 00001690 teq $zero,$zero
   2.443 +000001b4  // 00001694 teq $zero,$zero
   2.444 +000002bd  // 00001698 0x2bd
   2.445 +0000032c  // 0000169c 0x32c
   2.446 +000003d5  // 000016a0 0x3d5
   2.447 +00000096  // 000016a4 0x96
   2.448 +000002b8  // 000016a8 dsll $zero,$zero,0xa
   2.449 +00000234  // 000016ac teq $zero,$zero
   2.450 +00000110  // 000016b0 0x110
   2.451 +00000102  // 000016b4 srl $zero,$zero,0x4
   2.452 +00000287  // 000016b8 0x287
   2.453 +000001fd  // 000016bc 0x1fd
   2.454 +00000058  // 000016c0 0x58
   2.455 +000002bf  // 000016c4 dsra32 $zero,$zero,0xa
   2.456 +0000029d  // 000016c8 0x29d
   2.457 +00000177  // 000016cc 0x177
   2.458 +00000227  // 000016d0 0x227
   2.459 +000003a8  // 000016d4 0x3a8
   2.460 +00000250  // 000016d8 0x250
   2.461 +00000239  // 000016dc 0x239
   2.462 +000003b8  // 000016e0 dsll $zero,$zero,0xe
   2.463 +00000320  // 000016e4 0x320
   2.464 +00000248  // 000016e8 0x248
   2.465 +00000283  // 000016ec sra $zero,$zero,0xa
   2.466 +00000170  // 000016f0 tge $zero,$zero
   2.467 +000001e9  // 000016f4 0x1e9
   2.468 +00000148  // 000016f8 0x148
   2.469 +00000139  // 000016fc 0x139
   2.470 +00000250  // 00001700 0x250
   2.471 +00000184  // 00001704 0x184
   2.472 +0000021f  // 00001708 0x21f
   2.473 +00000289  // 0000170c 0x289
   2.474 +000003d3  // 00001710 0x3d3
   2.475 +000003e5  // 00001714 0x3e5
   2.476 +0000032e  // 00001718 0x32e
   2.477 +0000004f  // 0000171c 0x4f
   2.478 +000000d0  // 00001720 0xd0
   2.479 +000003e6  // 00001724 0x3e6
   2.480 +00000275  // 00001728 0x275
   2.481 +0000034f  // 0000172c 0x34f
   2.482 +000002c0  // 00001730 sll $zero,$zero,0xb
   2.483 +000003e5  // 00001734 0x3e5
   2.484 +000000fd  // 00001738 0xfd
   2.485 +000002cb  // 0000173c 0x2cb
   2.486 +000001ae  // 00001740 0x1ae
   2.487 +0000019f  // 00001744 0x19f
   2.488 +0000021a  // 00001748 0x21a
   2.489 +000002bc  // 0000174c dsll32 $zero,$zero,0xa
   2.490 +00000004  // 00001750 sllv $zero,$zero,$zero
   2.491 +000001ee  // 00001754 0x1ee
   2.492 +00000064  // 00001758 0x64
   2.493 +00000360  // 0000175c 0x360
   2.494 +000002b5  // 00001760 0x2b5
   2.495 +000001a0  // 00001764 0x1a0
   2.496 +00000128  // 00001768 0x128
   2.497 +0000011d  // 0000176c 0x11d
   2.498 +0000026c  // 00001770 0x26c
   2.499 +0000004e  // 00001774 0x4e
   2.500 +0000015f  // 00001778 0x15f
   2.501 +0000021c  // 0000177c 0x21c
   2.502 +00000286  // 00001780 0x286
   2.503 +000000a9  // 00001784 0xa9
   2.504 +0000020f  // 00001788 0x20f
   2.505 +00000121  // 0000178c 0x121
   2.506 +0000031c  // 00001790 0x31c
   2.507 +00000321  // 00001794 0x321
   2.508 +000002d0  // 00001798 0x2d0
   2.509 +000002f6  // 0000179c tne $zero,$zero
   2.510 +000002e9  // 000017a0 0x2e9
   2.511 +0000005c  // 000017a4 0x5c
   2.512 +000003dd  // 000017a8 0x3dd
   2.513 +0000010f  // 000017ac 0x10f
   2.514 +00000355  // 000017b0 0x355
   2.515 +00000314  // 000017b4 0x314
   2.516 +00000213  // 000017b8 0x213
   2.517 +000000de  // 000017bc 0xde
   2.518 +000001cd  // 000017c0 break 0x0
   2.519 +000000f1  // 000017c4 tgeu $zero,$zero
   2.520 +00000166  // 000017c8 0x166
   2.521 +0000014c  // 000017cc syscall 0x5
   2.522 +000002ac  // 000017d0 0x2ac
   2.523 +000002e4  // 000017d4 0x2e4
   2.524 +000001be  // 000017d8 dsrl32 $zero,$zero,0x6
   2.525 +00000137  // 000017dc 0x137
   2.526 +000002e7  // 000017e0 0x2e7
   2.527 +0000022d  // 000017e4 0x22d
   2.528 +000001df  // 000017e8 0x1df
   2.529 +0000022d  // 000017ec 0x22d
   2.530 +0000039d  // 000017f0 0x39d
   2.531 +0000031c  // 000017f4 0x31c
   2.532 +00000165  // 000017f8 0x165
   2.533 +0000037b  // 000017fc dsra $zero,$zero,0xd
   2.534 +0000029a  // 00001800 0x29a
   2.535 +00000202  // 00001804 srl $zero,$zero,0x8
   2.536 +0000022d  // 00001808 0x22d
   2.537 +00000366  // 0000180c 0x366
   2.538 +00000355  // 00001810 0x355
   2.539 +000001b8  // 00001814 dsll $zero,$zero,0x6
   2.540 +0000003d  // 00001818 0x3d
   2.541 +000002a6  // 0000181c 0x2a6
   2.542 +0000018c  // 00001820 syscall 0x6
   2.543 +00000009  // 00001824 jalr $zero,$zero
   2.544 +00000011  // 00001828 mthi $zero
   2.545 +000000aa  // 0000182c 0xaa
   2.546 +00000123  // 00001830 0x123
   2.547 +0000017c  // 00001834 dsll32 $zero,$zero,0x5
   2.548 +00000218  // 00001838 0x218
   2.549 +000000b9  // 0000183c 0xb9
   2.550 +00000395  // 00001840 0x395
   2.551 +0000021b  // 00001844 0x21b
   2.552 +000003d7  // 00001848 0x3d7
   2.553 +00000377  // 0000184c 0x377
   2.554 +00000036  // 00001850 tne $zero,$zero
   2.555 +00000264  // 00001854 0x264
   2.556 +000003b7  // 00001858 0x3b7
   2.557 +000001df  // 0000185c 0x1df
   2.558 +00000097  // 00001860 0x97
   2.559 +00000007  // 00001864 srav $zero,$zero,$zero
   2.560 +00000281  // 00001868 0x281
   2.561 +0000014f  // 0000186c 0x14f
   2.562 +000002da  // 00001870 0x2da
   2.563 +0000005f  // 00001874 0x5f
   2.564 +000002d8  // 00001878 0x2d8
   2.565 +00000118  // 0000187c 0x118
   2.566 +0000018b  // 00001880 0x18b
   2.567 +000002b0  // 00001884 tge $zero,$zero
   2.568 +0000038f  // 00001888 0x38f
   2.569 +000001dc  // 0000188c 0x1dc
   2.570 +0000032f  // 00001890 0x32f
   2.571 +000002d9  // 00001894 0x2d9
   2.572 +00000109  // 00001898 0x109
   2.573 +0000007f  // 0000189c dsra32 $zero,$zero,0x1
   2.574 +000000ec  // 000018a0 0xec
   2.575 +000000d6  // 000018a4 0xd6
   2.576 +000000b4  // 000018a8 teq $zero,$zero
   2.577 +00000006  // 000018ac srlv $zero,$zero,$zero
   2.578 +000001f7  // 000018b0 0x1f7
   2.579 +00000254  // 000018b4 0x254
   2.580 +000000ad  // 000018b8 0xad
   2.581 +00000283  // 000018bc sra $zero,$zero,0xa
   2.582 +0000015a  // 000018c0 0x15a
   2.583 +00000257  // 000018c4 0x257
   2.584 +00000044  // 000018c8 0x44
   2.585 +00000351  // 000018cc 0x351
   2.586 +00000292  // 000018d0 0x292
   2.587 +0000026b  // 000018d4 0x26b
   2.588 +00000079  // 000018d8 0x79
   2.589 +00000083  // 000018dc sra $zero,$zero,0x2
   2.590 +0000033c  // 000018e0 dsll32 $zero,$zero,0xc
   2.591 +0000029b  // 000018e4 0x29b
   2.592 +000001b1  // 000018e8 tgeu $zero,$zero
   2.593 +000001e7  // 000018ec 0x1e7
   2.594 +000002f1  // 000018f0 tgeu $zero,$zero
   2.595 +0000007d  // 000018f4 0x7d
   2.596 +00000272  // 000018f8 tlt $zero,$zero
   2.597 +0000000e  // 000018fc 0xe
   2.598 +0000000a  // 00001900 movz $zero,$zero,$zero
   2.599 +00000193  // 00001904 0x193
   2.600 +0000006a  // 00001908 0x6a
   2.601 +000002bf  // 0000190c dsra32 $zero,$zero,0xa
   2.602 +00000332  // 00001910 tlt $zero,$zero
   2.603 +000003c4  // 00001914 0x3c4
   2.604 +00000196  // 00001918 0x196
   2.605 +0000036a  // 0000191c 0x36a
   2.606 +00000358  // 00001920 0x358
   2.607 +00000056  // 00001924 0x56
   2.608 +0000003c  // 00001928 dsll32 $zero,$zero,0x0
   2.609 +00000294  // 0000192c 0x294
   2.610 +0000029b  // 00001930 0x29b
   2.611 +00000099  // 00001934 0x99
   2.612 +00000079  // 00001938 0x79
   2.613 +00000062  // 0000193c 0x62
   2.614 +0000019c  // 00001940 0x19c
   2.615 +000000ec  // 00001944 0xec
   2.616 +0000000c  // 00001948 syscall
   2.617 +000001a7  // 0000194c 0x1a7
   2.618 +000003c5  // 00001950 0x3c5
   2.619 +000000d8  // 00001954 0xd8
   2.620 +0000026d  // 00001958 0x26d
   2.621 +00000169  // 0000195c 0x169
   2.622 +00000399  // 00001960 0x399
   2.623 +000002cb  // 00001964 0x2cb
   2.624 +00000287  // 00001968 0x287
   2.625 +0000012b  // 0000196c 0x12b
   2.626 +00000376  // 00001970 tne $zero,$zero
   2.627 +000002aa  // 00001974 0x2aa
   2.628 +00000024  // 00001978 and $zero,$zero,$zero
   2.629 +000001ed  // 0000197c 0x1ed
   2.630 +00000227  // 00001980 0x227
   2.631 +00000219  // 00001984 0x219
   2.632 +000003c9  // 00001988 0x3c9
   2.633 +00000283  // 0000198c sra $zero,$zero,0xa
   2.634 +000001b2  // 00001990 tlt $zero,$zero
   2.635 +0000019f  // 00001994 0x19f
   2.636 +0000012f  // 00001998 0x12f
   2.637 +000001b6  // 0000199c tne $zero,$zero
   2.638 +0000035c  // 000019a0 0x35c
   2.639 +000000cb  // 000019a4 0xcb
   2.640 +000001de  // 000019a8 0x1de
   2.641 +000003dc  // 000019ac 0x3dc
   2.642 +000002a3  // 000019b0 0x2a3
   2.643 +000002cf  // 000019b4 0x2cf
   2.644 +000003de  // 000019b8 0x3de
   2.645 +00000152  // 000019bc 0x152
   2.646 +000001c2  // 000019c0 srl $zero,$zero,0x7
   2.647 +00000279  // 000019c4 0x279
   2.648 +0000009b  // 000019c8 0x9b
   2.649 +00000286  // 000019cc 0x286
   2.650 +000001c4  // 000019d0 0x1c4
   2.651 +000001ab  // 000019d4 0x1ab
   2.652 +000001fd  // 000019d8 0x1fd
   2.653 +000003dc  // 000019dc 0x3dc
   2.654 +000001aa  // 000019e0 0x1aa
   2.655 +0000000c  // 000019e4 syscall
   2.656 +000001e3  // 000019e8 0x1e3
   2.657 +0000008e  // 000019ec 0x8e
   2.658 +00000153  // 000019f0 0x153
   2.659 +00000186  // 000019f4 0x186
   2.660 +00000032  // 000019f8 tlt $zero,$zero
   2.661 +000000ab  // 000019fc 0xab
   2.662 +00000259  // 00001a00 0x259
   2.663 +00000069  // 00001a04 0x69
   2.664 +000003c8  // 00001a08 0x3c8
   2.665 +00000079  // 00001a0c 0x79
   2.666 +0000036f  // 00001a10 0x36f
   2.667 +00000051  // 00001a14 0x51
   2.668 +00000366  // 00001a18 0x366
   2.669 +00000258  // 00001a1c 0x258
   2.670 +0000025b  // 00001a20 0x25b
   2.671 +00000367  // 00001a24 0x367
   2.672 +00000377  // 00001a28 0x377
   2.673 +00000262  // 00001a2c 0x262
   2.674 +00000194  // 00001a30 0x194
   2.675 +000000ea  // 00001a34 0xea
   2.676 +000002e9  // 00001a38 0x2e9
   2.677 +0000020e  // 00001a3c 0x20e
   2.678 +00000113  // 00001a40 0x113
   2.679 +000001b9  // 00001a44 0x1b9
   2.680 +000000e2  // 00001a48 0xe2
   2.681 +000002f0  // 00001a4c tge $zero,$zero
   2.682 +000003af  // 00001a50 0x3af
   2.683 +000002d6  // 00001a54 0x2d6
   2.684 +000002c5  // 00001a58 0x2c5
   2.685 +000000c9  // 00001a5c 0xc9
   2.686 +00000036  // 00001a60 tne $zero,$zero
   2.687 +000002f6  // 00001a64 tne $zero,$zero
   2.688 +00000035  // 00001a68 0x35
   2.689 +0000018d  // 00001a6c break 0x0
   2.690 +00000029  // 00001a70 dmadd16 $zero,$zero
   2.691 +0000008d  // 00001a74 break 0x0
   2.692 +000001a0  // 00001a78 0x1a0
   2.693 +000002eb  // 00001a7c 0x2eb
   2.694 +000000db  // 00001a80 0xdb
   2.695 +000001de  // 00001a84 0x1de
   2.696 +00000302  // 00001a88 srl $zero,$zero,0xc
   2.697 +000000b4  // 00001a8c teq $zero,$zero
   2.698 +000001e2  // 00001a90 0x1e2
   2.699 +000002b3  // 00001a94 tltu $zero,$zero
   2.700 +000002d5  // 00001a98 0x2d5
   2.701 +000000ad  // 00001a9c 0xad
   2.702 +000000ba  // 00001aa0 dsrl $zero,$zero,0x2
   2.703 +00000392  // 00001aa4 0x392
   2.704 +00000001  // 00001aa8 movf $zero,$zero,$fcc0
   2.705 +000003c3  // 00001aac sra $zero,$zero,0xf
   2.706 +000000f7  // 00001ab0 0xf7
   2.707 +000001d0  // 00001ab4 0x1d0
   2.708 +0000016a  // 00001ab8 0x16a
   2.709 +00000209  // 00001abc 0x209
   2.710 +000000e9  // 00001ac0 0xe9
   2.711 +00000078  // 00001ac4 dsll $zero,$zero,0x1
   2.712 +00000028  // 00001ac8 madd16 $zero,$zero
   2.713 +0000030b  // 00001acc 0x30b
   2.714 +000000c3  // 00001ad0 sra $zero,$zero,0x3
   2.715 +000000a1  // 00001ad4 0xa1
   2.716 +000002e7  // 00001ad8 0x2e7
   2.717 +000001b7  // 00001adc 0x1b7
   2.718 +00000163  // 00001ae0 0x163
   2.719 +00000193  // 00001ae4 0x193
   2.720 +0000008d  // 00001ae8 break 0x0
   2.721 +00000279  // 00001aec 0x279
   2.722 +
   2.723 +@6bc      // <verify_data>
   2.724 +000001ef  // 00001af0 0x1ef
   2.725 +00000490  // 00001af4 0x490
   2.726 +00000235  // 00001af8 0x235
   2.727 +00000498  // 00001afc 0x498
   2.728 +0000045a  // 00001b00 0x45a
   2.729 +0000039a  // 00001b04 0x39a
   2.730 +000000c4  // 00001b08 0xc4
   2.731 +0000044e  // 00001b0c 0x44e
   2.732 +00000320  // 00001b10 0x320
   2.733 +000003b1  // 00001b14 tgeu $zero,$zero
   2.734 +0000033f  // 00001b18 dsra32 $zero,$zero,0xc
   2.735 +00000242  // 00001b1c srl $zero,$zero,0x9
   2.736 +000004f6  // 00001b20 tne $zero,$zero
   2.737 +000005cb  // 00001b24 0x5cb
   2.738 +0000050a  // 00001b28 0x50a
   2.739 +0000039a  // 00001b2c 0x39a
   2.740 +000003cd  // 00001b30 break 0x0
   2.741 +000003e1  // 00001b34 0x3e1
   2.742 +00000362  // 00001b38 0x362
   2.743 +000003ae  // 00001b3c 0x3ae
   2.744 +0000039e  // 00001b40 0x39e
   2.745 +000002ee  // 00001b44 0x2ee
   2.746 +00000550  // 00001b48 0x550
   2.747 +00000632  // 00001b4c tlt $zero,$zero
   2.748 +00000514  // 00001b50 0x514
   2.749 +0000033c  // 00001b54 dsll32 $zero,$zero,0xc
   2.750 +00000623  // 00001b58 0x623
   2.751 +000003ac  // 00001b5c 0x3ac
   2.752 +000002ea  // 00001b60 0x2ea
   2.753 +000004ac  // 00001b64 0x4ac
   2.754 +000004a2  // 00001b68 0x4a2
   2.755 +00000436  // 00001b6c tne $zero,$zero
   2.756 +00000123  // 00001b70 0x123
   2.757 +000003d7  // 00001b74 0x3d7
   2.758 +00000594  // 00001b78 0x594
   2.759 +000003d5  // 00001b7c 0x3d5
   2.760 +00000426  // 00001b80 0x426
   2.761 +00000639  // 00001b84 0x639
   2.762 +00000313  // 00001b88 0x313
   2.763 +0000028a  // 00001b8c 0x28a
   2.764 +000004c3  // 00001b90 sra $zero,$zero,0x13
   2.765 +00000405  // 00001b94 0x405
   2.766 +00000399  // 00001b98 0x399
   2.767 +00000633  // 00001b9c tltu $zero,$zero
   2.768 +000004f6  // 00001ba0 tne $zero,$zero
   2.769 +000002da  // 00001ba4 0x2da
   2.770 +000004d9  // 00001ba8 0x4d9
   2.771 +00000473  // 00001bac tltu $zero,$zero
   2.772 +000005f5  // 00001bb0 0x5f5
   2.773 +0000055d  // 00001bb4 0x55d
   2.774 +000002e2  // 00001bb8 0x2e2
   2.775 +00000649  // 00001bbc 0x649
   2.776 +00000609  // 00001bc0 0x609
   2.777 +00000543  // 00001bc4 sra $zero,$zero,0x15
   2.778 +000005b7  // 00001bc8 0x5b7
   2.779 +000002e0  // 00001bcc 0x2e0
   2.780 +00000185  // 00001bd0 0x185
   2.781 +00000455  // 00001bd4 0x455
   2.782 +000005d0  // 00001bd8 0x5d0
   2.783 +00000390  // 00001bdc 0x390
   2.784 +000003e0  // 00001be0 0x3e0
   2.785 +00000542  // 00001be4 srl $zero,$zero,0x15
   2.786 +0000018a  // 00001be8 0x18a
   2.787 +00000654  // 00001bec 0x654
   2.788 +00000524  // 00001bf0 0x524
   2.789 +000002a7  // 00001bf4 0x2a7
   2.790 +0000045a  // 00001bf8 0x45a
   2.791 +0000068f  // 00001bfc 0x68f
   2.792 +000002fd  // 00001c00 0x2fd
   2.793 +000002df  // 00001c04 0x2df
   2.794 +00000242  // 00001c08 srl $zero,$zero,0x9
   2.795 +00000553  // 00001c0c 0x553
   2.796 +00000448  // 00001c10 0x448
   2.797 +0000027e  // 00001c14 dsrl32 $zero,$zero,0x9
   2.798 +000002e4  // 00001c18 0x2e4
   2.799 +000003ee  // 00001c1c 0x3ee
   2.800 +00000510  // 00001c20 0x510
   2.801 +0000018b  // 00001c24 0x18b
   2.802 +0000023f  // 00001c28 dsra32 $zero,$zero,0x8
   2.803 +000005c5  // 00001c2c 0x5c5
   2.804 +000003a6  // 00001c30 0x3a6
   2.805 +00000120  // 00001c34 0x120
   2.806 +00000476  // 00001c38 tne $zero,$zero
   2.807 +0000037f  // 00001c3c dsra32 $zero,$zero,0xd
   2.808 +000004a1  // 00001c40 0x4a1
   2.809 +00000480  // 00001c44 sll $zero,$zero,0x12
   2.810 +00000497  // 00001c48 0x497
   2.811 +0000040c  // 00001c4c syscall 0x10
   2.812 +00000458  // 00001c50 0x458
   2.813 +000001c2  // 00001c54 srl $zero,$zero,0x7
   2.814 +00000625  // 00001c58 0x625
   2.815 +0000014d  // 00001c5c break 0x0
   2.816 +0000072e  // 00001c60 0x72e
   2.817 +000004a7  // 00001c64 0x4a7
   2.818 +0000036d  // 00001c68 0x36d
   2.819 +000002e3  // 00001c6c 0x2e3
   2.820 +000003fc  // 00001c70 dsll32 $zero,$zero,0xf
   2.821 +0000047d  // 00001c74 0x47d
   2.822 +0000046d  // 00001c78 0x46d
   2.823 +0000024b  // 00001c7c 0x24b
   2.824 +000005b6  // 00001c80 tne $zero,$zero
   2.825 +0000053a  // 00001c84 dsrl $zero,$zero,0x14
   2.826 +0000024d  // 00001c88 break 0x0
   2.827 +00000158  // 00001c8c 0x158
   2.828 +00000364  // 00001c90 0x364
   2.829 +000005da  // 00001c94 0x5da
   2.830 +00000584  // 00001c98 0x584
   2.831 +0000054c  // 00001c9c syscall 0x15
   2.832 +000005c6  // 00001ca0 0x5c6
   2.833 +000004cb  // 00001ca4 0x4cb
   2.834 +000003ed  // 00001ca8 0x3ed
   2.835 +00000733  // 00001cac tltu $zero,$zero
   2.836 +000003b9  // 00001cb0 0x3b9
   2.837 +00000215  // 00001cb4 0x215
   2.838 +0000025e  // 00001cb8 0x25e
   2.839 +000003bc  // 00001cbc dsll32 $zero,$zero,0xe
   2.840 +000003b4  // 00001cc0 teq $zero,$zero
   2.841 +00000371  // 00001cc4 tgeu $zero,$zero
   2.842 +00000288  // 00001cc8 0x288
   2.843 +0000050c  // 00001ccc syscall 0x14
   2.844 +0000030a  // 00001cd0 0x30a
   2.845 +00000121  // 00001cd4 0x121
   2.846 +00000339  // 00001cd8 0x339
   2.847 +00000475  // 00001cdc 0x475
   2.848 +00000456  // 00001ce0 0x456
   2.849 +000002d4  // 00001ce4 0x2d4
   2.850 +000003da  // 00001ce8 0x3da
   2.851 +000002b9  // 00001cec 0x2b9
   2.852 +0000075a  // 00001cf0 0x75a
   2.853 +00000376  // 00001cf4 tne $zero,$zero
   2.854 +000006ff  // 00001cf8 dsra32 $zero,$zero,0x1b
   2.855 +000006e9  // 00001cfc 0x6e9
   2.856 +0000024f  // 00001d00 0x24f
   2.857 +00000616  // 00001d04 0x616
   2.858 +00000674  // 00001d08 teq $zero,$zero
   2.859 +00000343  // 00001d0c sra $zero,$zero,0xd
   2.860 +000002ce  // 00001d10 0x2ce
   2.861 +00000382  // 00001d14 srl $zero,$zero,0xe
   2.862 +00000297  // 00001d18 0x297
   2.863 +00000387  // 00001d1c 0x387
   2.864 +00000573  // 00001d20 tltu $zero,$zero
   2.865 +00000206  // 00001d24 0x206
   2.866 +0000048a  // 00001d28 0x48a
   2.867 +000001b6  // 00001d2c tne $zero,$zero
   2.868 +0000018d  // 00001d30 break 0x0
   2.869 +00000304  // 00001d34 0x304
   2.870 +00000486  // 00001d38 0x486
   2.871 +0000020d  // 00001d3c break 0x0
   2.872 +000004e2  // 00001d40 0x4e2
   2.873 +000005f1  // 00001d44 tgeu $zero,$zero
   2.874 +0000046e  // 00001d48 0x46e
   2.875 +00000265  // 00001d4c 0x265
   2.876 +0000028a  // 00001d50 0x28a
   2.877 +00000247  // 00001d54 0x247
   2.878 +000002d8  // 00001d58 0x2d8
   2.879 +0000020c  // 00001d5c syscall 0x8
   2.880 +0000056f  // 00001d60 0x56f
   2.881 +000004fe  // 00001d64 dsrl32 $zero,$zero,0x13
   2.882 +000001c9  // 00001d68 0x1c9
   2.883 +0000038b  // 00001d6c 0x38b
   2.884 +0000034d  // 00001d70 break 0x0
   2.885 +00000379  // 00001d74 0x379
   2.886 +000003c5  // 00001d78 0x3c5
   2.887 +00000428  // 00001d7c 0x428
   2.888 +0000056d  // 00001d80 0x56d
   2.889 +0000051b  // 00001d84 0x51b
   2.890 +00000174  // 00001d88 teq $zero,$zero
   2.891 +00000395  // 00001d8c 0x395
   2.892 +00000567  // 00001d90 0x567
   2.893 +000003c9  // 00001d94 0x3c9
   2.894 +000003c1  // 00001d98 0x3c1
   2.895 +00000407  // 00001d9c 0x407
   2.896 +00000433  // 00001da0 tltu $zero,$zero
   2.897 +00000430  // 00001da4 tge $zero,$zero
   2.898 +00000391  // 00001da8 0x391
   2.899 +00000346  // 00001dac 0x346
   2.900 +0000013a  // 00001db0 dsrl $zero,$zero,0x4
   2.901 +000004a7  // 00001db4 0x4a7
   2.902 +00000347  // 00001db8 0x347
   2.903 +0000067e  // 00001dbc dsrl32 $zero,$zero,0x19
   2.904 +000004a0  // 00001dc0 0x4a0
   2.905 +00000696  // 00001dc4 0x696
   2.906 +000002bc  // 00001dc8 dsll32 $zero,$zero,0xa
   2.907 +00000739  // 00001dcc 0x739
   2.908 +000005e5  // 00001dd0 0x5e5
   2.909 +00000342  // 00001dd4 srl $zero,$zero,0xd
   2.910 +00000097  // 00001dd8 0x97
   2.911 +0000040e  // 00001ddc 0x40e
   2.912 +00000304  // 00001de0 0x304
   2.913 +000000ff  // 00001de4 dsra32 $zero,$zero,0x3
   2.914 +000001f6  // 00001de8 tne $zero,$zero
   2.915 +000002ed  // 00001dec 0x2ed
   2.916 +000004d5  // 00001df0 0x4d5
   2.917 +00000434  // 00001df4 teq $zero,$zero
   2.918 +00000170  // 00001df8 tge $zero,$zero
   2.919 +0000023b  // 00001dfc dsra $zero,$zero,0x8
   2.920 +000003fb  // 00001e00 dsra $zero,$zero,0xf
   2.921 +00000164  // 00001e04 0x164
   2.922 +00000628  // 00001e08 0x628
   2.923 +000002c0  // 00001e0c sll $zero,$zero,0xb
   2.924 +000005ae  // 00001e10 0x5ae
   2.925 +000005c0  // 00001e14 sll $zero,$zero,0x17
   2.926 +00000490  // 00001e18 0x490
   2.927 +00000470  // 00001e1c tge $zero,$zero
   2.928 +000005c6  // 00001e20 0x5c6
   2.929 +000002b7  // 00001e24 0x2b7
   2.930 +000000d1  // 00001e28 0xd1
   2.931 +0000022c  // 00001e2c 0x22c
   2.932 +000002a0  // 00001e30 0x2a0
   2.933 +0000029e  // 00001e34 0x29e
   2.934 +000006bf  // 00001e38 dsra32 $zero,$zero,0x1a
   2.935 +000003f7  // 00001e3c 0x3f7
   2.936 +00000569  // 00001e40 0x569
   2.937 +000001c6  // 00001e44 0x1c6
   2.938 +000001b0  // 00001e48 tge $zero,$zero
   2.939 +00000224  // 00001e4c 0x224
   2.940 +000006ab  // 00001e50 0x6ab
   2.941 +00000280  // 00001e54 sll $zero,$zero,0xa
   2.942 +000002dd  // 00001e58 0x2dd
   2.943 +000004e9  // 00001e5c 0x4e9
   2.944 +0000043c  // 00001e60 dsll32 $zero,$zero,0x10
   2.945 +00000543  // 00001e64 sra $zero,$zero,0x15
   2.946 +0000056d  // 00001e68 0x56d
   2.947 +00000377  // 00001e6c 0x377
   2.948 +000004b3  // 00001e70 tltu $zero,$zero
   2.949 +000004ad  // 00001e74 0x4ad
   2.950 +00000146  // 00001e78 0x146
   2.951 +00000299  // 00001e7c 0x299
   2.952 +0000049b  // 00001e80 0x49b
   2.953 +000003a0  // 00001e84 0x3a0
   2.954 +00000506  // 00001e88 0x506
   2.955 +00000407  // 00001e8c 0x407
   2.956 +0000049b  // 00001e90 0x49b
   2.957 +0000005d  // 00001e94 0x5d
   2.958 +000002ad  // 00001e98 0x2ad
   2.959 +000003e3  // 00001e9c 0x3e3
   2.960 +000001ec  // 00001ea0 0x1ec
   2.961 +0000047e  // 00001ea4 dsrl32 $zero,$zero,0x11
   2.962 +00000197  // 00001ea8 0x197
   2.963 +0000045a  // 00001eac 0x45a
   2.964 +000005f3  // 00001eb0 tltu $zero,$zero
   2.965 +000001b1  // 00001eb4 tgeu $zero,$zero
   2.966 +000005b8  // 00001eb8 dsll $zero,$zero,0x16
   2.967 +00000233  // 00001ebc tltu $zero,$zero
   2.968 +00000573  // 00001ec0 tltu $zero,$zero
   2.969 +000002d2  // 00001ec4 0x2d2
   2.970 +0000047a  // 00001ec8 dsrl $zero,$zero,0x11
   2.971 +0000056a  // 00001ecc 0x56a
   2.972 +000002cc  // 00001ed0 syscall 0xb
   2.973 +000006b1  // 00001ed4 tgeu $zero,$zero
   2.974 +00000702  // 00001ed8 srl $zero,$zero,0x1c
   2.975 +00000375  // 00001edc 0x375
   2.976 +00000281  // 00001ee0 0x281
   2.977 +0000010a  // 00001ee4 0x10a
   2.978 +000005f9  // 00001ee8 0x5f9
   2.979 +00000443  // 00001eec sra $zero,$zero,0x11
   2.980 +00000278  // 00001ef0 dsll $zero,$zero,0x9
   2.981 +000004dc  // 00001ef4 0x4dc
   2.982 +00000415  // 00001ef8 0x415
   2.983 +000005df  // 00001efc 0x5df
   2.984 +000004c7  // 00001f00 0x4c7
   2.985 +0000032b  // 00001f04 0x32b
   2.986 +0000048f  // 00001f08 0x48f
   2.987 +0000028f  // 00001f0c 0x28f
   2.988 +000002fc  // 00001f10 dsll32 $zero,$zero,0xb
   2.989 +000004c1  // 00001f14 0x4c1
   2.990 +0000005e  // 00001f18 0x5e
   2.991 +0000028a  // 00001f1c 0x28a
   2.992 +000001a2  // 00001f20 0x1a2
   2.993 +00000289  // 00001f24 0x289
   2.994 +0000045c  // 00001f28 0x45c
   2.995 +00000647  // 00001f2c 0x647
   2.996 +000002bb  // 00001f30 dsra $zero,$zero,0xa
   2.997 +000004c3  // 00001f34 sra $zero,$zero,0x13
   2.998 +000004f5  // 00001f38 0x4f5
   2.999 +00000379  // 00001f3c 0x379
  2.1000 +00000213  // 00001f40 0x213
  2.1001 +00000426  // 00001f44 0x426
  2.1002 +0000063e  // 00001f48 dsrl32 $zero,$zero,0x18
  2.1003 +0000045e  // 00001f4c 0x45e
  2.1004 +0000049a  // 00001f50 0x49a
  2.1005 +000005a0  // 00001f54 0x5a0
  2.1006 +000002d2  // 00001f58 0x2d2
  2.1007 +00000576  // 00001f5c tne $zero,$zero
  2.1008 +000001df  // 00001f60 0x1df
  2.1009 +000003c1  // 00001f64 0x3c1
  2.1010 +00000423  // 00001f68 0x423
  2.1011 +00000227  // 00001f6c 0x227
  2.1012 +00000245  // 00001f70 0x245
  2.1013 +00000172  // 00001f74 tlt $zero,$zero
  2.1014 +00000186  // 00001f78 0x186
  2.1015 +00000405  // 00001f7c 0x405
  2.1016 +00000300  // 00001f80 sll $zero,$zero,0xc
  2.1017 +000003b1  // 00001f84 tgeu $zero,$zero
  2.1018 +000005d4  // 00001f88 0x5d4
  2.1019 +000003ad  // 00001f8c 0x3ad
  2.1020 +0000049a  // 00001f90 0x49a
  2.1021 +000004cd  // 00001f94 break 0x0
  2.1022 +00000137  // 00001f98 0x137
  2.1023 +00000319  // 00001f9c 0x319
  2.1024 +
  2.1025 +@7e8      // <_heapend>
  2.1026 +00000000  // 00001fa0 nop
  2.1027 +
  2.1028 +@7e9      // <environ>
  2.1029 +00000000  // 00001fa4 nop
  2.1030 +00000000  // 00001fa8 nop
  2.1031 +00000000  // 00001fac nop
  2.1032 +
  2.1033 +@7ec      // <.reginfo>
  2.1034 +a00f01fc  // 00001fb0 sb $t7,508($zero)
  2.1035 +00000000  // 00001fb4 nop
  2.1036 +00000000  // 00001fb8 nop
  2.1037 +00000000  // 00001fbc nop
  2.1038 +00000000  // 00001fc0 nop
  2.1039 +00009f90  // 00001fc4 0x9f90