view modules/bluespec/Pygar/lab4/InstCacheBlocking.bsv @ 51:9fe5ed4af92d pygar svn.52

[svn r52] tested having multiple cores
author punk
date Wed, 05 May 2010 17:01:04 -0400
parents 61f6267cb3db
children 6179c07c21d7
line wrap: on
line source
1 // The MIT License
3 // Copyright (c) 2009 Massachusetts Institute of Technology
5 // Permission is hereby granted, free of charge, to any person obtaining a copy
6 // of this software and associated documentation files (the "Software"), to deal
7 // in the Software without restriction, including without limitation the rights
8 // to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9 // copies of the Software, and to permit persons to whom the Software is
10 // furnished to do so, subject to the following conditions:
12 // The above copyright notice and this permission notice shall be included in
13 // all copies or substantial portions of the Software.
15 // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16 // IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17 // FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18 // AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19 // LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20 // OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
21 // THE SOFTWARE.
23 import Connectable::*;
24 import GetPut::*;
25 import ClientServer::*;
26 import RegFile::*;
27 import FIFO::*;
28 import FIFOF::*;
29 import RWire::*;
30 import Trace::*;
32 // Local includes
33 `include "asim/provides/low_level_platform_interface.bsh"
34 `include "asim/provides/soft_connections.bsh"
35 `include "asim/provides/processor_library.bsh"
36 `include "asim/provides/fpga_components.bsh"
37 `include "asim/provides/common_services.bsh"
39 interface ICache#( type req_t, type resp_t );
41 // Interface from processor to cache
42 interface Server#(req_t,resp_t) proc_server;
44 // Interface from cache to main memory
45 interface Client#(MainMemReq,MainMemResp) mmem_client;
47 // Interface for enabling/disabling statistics
48 interface Put#(Bool) statsEn_put;
50 endinterface
52 //----------------------------------------------------------------------
53 // Cache Types
54 //----------------------------------------------------------------------
56 typedef 10 CacheLineIndexSz;
57 typedef 20 CacheLineTagSz;
58 typedef 32 CacheLineSz;
60 typedef Bit#(CacheLineIndexSz) CacheLineIndex;
61 typedef Bit#(CacheLineTagSz) CacheLineTag;
62 typedef Bit#(CacheLineSz) CacheLine;
64 typedef enum
65 {
66 Init,
67 Access,
68 Evict,
69 RefillReq,
70 RefillResp
71 }
72 CacheStage
73 deriving (Eq,Bits);
75 //----------------------------------------------------------------------
76 // Helper functions
77 //----------------------------------------------------------------------
79 function Bit#(AddrSz) getAddr( InstReq req );
81 Bit#(AddrSz) addr = ?;
82 case ( req ) matches
83 tagged LoadReq .ld : addr = ld.addr;
84 tagged StoreReq .st : addr = st.addr;
85 endcase
87 return addr;
89 endfunction
91 function CacheLineIndex getCacheLineIndex( InstReq req );
92 Bit#(AddrSz) addr = getAddr(req);
93 Bit#(CacheLineIndexSz) index = truncate( addr >> 2 );
94 return index;
95 endfunction
97 function CacheLineTag getCacheLineTag( InstReq req );
98 Bit#(AddrSz) addr = getAddr(req);
99 Bit#(CacheLineTagSz) tag = truncate( addr >> fromInteger(valueOf(CacheLineIndexSz)) >> 2 );
100 return tag;
101 endfunction
103 function Bit#(AddrSz) getCacheLineAddr( InstReq req );
104 Bit#(AddrSz) addr = getAddr(req);
105 return ((addr >> 2) << 2);
106 endfunction
108 //----------------------------------------------------------------------
109 // Main module
110 //----------------------------------------------------------------------
112 module [CONNECTED_MODULE] mkInstCache( ICache#(InstReq,InstResp) );
114 //-----------------------------------------------------------
115 // State
117 Reg#(CacheStage) stage <- mkReg(Init);
119 LUTRAM#(CacheLineIndex,Maybe#(CacheLineTag)) cacheTagRam <- mkLUTRAMU_RegFile();
120 LUTRAM#(CacheLineIndex,CacheLine) cacheDataRam <- mkLUTRAMU_RegFile();
122 FIFO#(InstReq) reqQ <- mkFIFO();
123 FIFOF#(InstResp) respQ <- mkBFIFOF1();
125 FIFO#(MainMemReq) mainMemReqQ <- mkBFIFO1();
126 FIFO#(MainMemResp) mainMemRespQ <- mkFIFO();
128 Reg#(CacheLineIndex) initCounter <- mkReg(1);
130 // Statistics state
132 Reg#(Bool) statsEn <- mkReg(False);
134 //rlm:
135 //STAT num_accesses <- mkStatCounter(`STATS_INST_CACHE_NUM_ACCESSES);
136 //STAT num_misses <- mkStatCounter(`STATS_INST_CACHE_NUM_MISSES);
137 //STAT num_evictions <- mkStatCounter(`STATS_INST_CACHE_NUM_EVICTIONS);
139 //-----------------------------------------------------------
140 // Name some wires
142 let req = reqQ.first();
143 let reqIndex = getCacheLineIndex(req);
144 let reqTag = getCacheLineTag(req);
145 let reqCacheLineAddr = getCacheLineAddr(req);
146 let refill = mainMemRespQ.first();
148 //-----------------------------------------------------------
149 // Initialize
151 rule init ( stage == Init );
152 traceTiny("mkInstCacheBlocking", "stage","i");
153 initCounter <= initCounter + 1;
154 cacheTagRam.upd(initCounter,Invalid);
155 if ( initCounter == 0 )
156 stage <= Access;
157 endrule
159 //-----------------------------------------------------------
160 // Cache access rule
162 rule access ( (stage == Access) && respQ.notFull() );
164 // Statistics
165 //rlm:
166 // if ( statsEn )
167 // num_accesses.incr();
169 // Check tag and valid bit to see if this is a hit or a miss
171 Maybe#(CacheLineTag) cacheLineTag = cacheTagRam.sub(reqIndex);
173 // Handle cache hits ...
175 if ( isValid(cacheLineTag) && ( unJust(cacheLineTag) == reqTag ) )
176 begin
177 traceTiny("mkInstCacheBlocking", "hitMiss","h");
178 reqQ.deq();
180 case ( req ) matches
182 tagged LoadReq .ld :
183 respQ.enq( LoadResp { tag : ld.tag, data : cacheDataRam.sub(reqIndex) } );
185 tagged StoreReq .st :
186 $display( " RTL-ERROR : %m : Stores are not allowed on the inst port!" );
188 endcase
190 end
192 // Handle cache misses - since lines in instruction cache are
193 // never dirty we can always immediately issue a refill request
195 else
196 begin
197 traceTiny("mkInstCacheBlocking", "hitMiss","m");
198 //rlm:
199 //if ( statsEn )
200 //num_misses.incr();
201 //if ( statsEn )
202 //if ( isJust(cacheLineTag) )
203 //num_evictions.incr();
205 MainMemReq rfReq
206 = LoadReq { tag : 0,
207 addr : reqCacheLineAddr };
209 mainMemReqQ.enq(rfReq);
210 stage <= RefillResp;
211 end
213 endrule
215 //-----------------------------------------------------------
216 // Refill response rule
218 rule refillResp ( stage == RefillResp );
219 traceTiny("mkInstCacheBlocking", "stage","R");
220 traceTiny("mkInstCacheBlocking", "refill",refill);
222 // Write the new data into the cache and update the tag
224 mainMemRespQ.deq();
225 case ( mainMemRespQ.first() ) matches
227 tagged LoadResp .ld :
228 begin
229 cacheTagRam.upd(reqIndex,Valid(reqTag));
230 cacheDataRam.upd(reqIndex,ld.data);
231 end
233 tagged StoreResp .st :
234 noAction;
236 endcase
238 stage <= Access;
239 endrule
241 //-----------------------------------------------------------
242 // Methods
244 interface Client mmem_client;
245 interface Get request = fifoToGet(mainMemReqQ);
246 interface Put response = fifoToPut(mainMemRespQ);
247 endinterface
249 interface Server proc_server;
250 interface Put request = tracePut("mkInstCacheBlocking", "reqTiny",fifoToPut(reqQ));
251 interface Get response = traceGet("mkInstCacheBlocking", "respTiny",fifofToGet(respQ));
252 endinterface
254 interface Put statsEn_put = regToPut(statsEn);
256 endmodule