diff modules/bluespec/Pygar/core/audioCorePipeline.bsv @ 15:a1833d9f6e3d pygar svn.16

[svn r16] Recent
author punk
date Tue, 27 Apr 2010 13:11:45 -0400
parents 6d461680c6d9
children 220c14f5963c
line wrap: on
line diff
     1.1 --- a/modules/bluespec/Pygar/core/audioCorePipeline.bsv	Tue Apr 27 09:13:34 2010 -0400
     1.2 +++ b/modules/bluespec/Pygar/core/audioCorePipeline.bsv	Tue Apr 27 13:11:45 2010 -0400
     1.3 @@ -26,6 +26,7 @@
     1.4  import GetPut::*;
     1.5  import ClientServer::*;
     1.6  import FIFO::*;
     1.7 +import SpecialFIFOs::*;
     1.8  
     1.9  //AWB includes
    1.10  `include "asim/provides/low_level_platform_interface.bsh"
    1.11 @@ -35,9 +36,10 @@
    1.12  //Local includes
    1.13  `include "asim/provides/audio_pipe_types.bsh"  //provides Audio Pipeline interface
    1.14  `include "asim/provides/core.bsh"
    1.15 -
    1.16 +`include "asim/provides/processor_library.bsh"
    1.17 +`include "asim/provides/fpga_components.bsh"
    1.18  `include "asim/rrr/remote_client_stub_AUDIOCORERRR.bsh"
    1.19 -`include "asim/rrr/remote_server_stub_AUDIOCORERRR.bsh"
    1.20 +//`include "asim/rrr/remote_server_stub_AUDIOCORERRR.bsh"
    1.21  
    1.22  module [CONNECTED_MODULE] mkConnectedApplication ();
    1.23     Core core <- mkCore;
    1.24 @@ -46,7 +48,7 @@
    1.25    //External memory 
    1.26    // I'm not comfortable assuming that the memory subsystem is in order  
    1.27    // So I'll insert a completion buffer here.  
    1.28 -  ClientStub_AUDICORERRR client_stub <- mkClientStub_AUDIOCORERRR();   
    1.29 +  ClientStub_AUDIOCORERRR client_stub <- mkClientStub_AUDIOCORERRR();   
    1.30    // Make this big enough so that several outstanding requests may be supported
    1.31    FIFO#(Bit#(MainMemTagSz)) tags <- mkSizedFIFO(8);
    1.32  
    1.33 @@ -84,11 +86,11 @@
    1.34       AudioProcessorControl endOfFileTag = EndOfFile;
    1.35       AudioProcessorControl sampleTag = Data;
    1.36  
    1.37 -     case (pipelineData) matches
    1.38 -       tagged EndOfFile: client_stub.makeRequest_SendProcessedStream(zeroExtend(pack(endOfFileTag)),?);
    1.39 -       tagged Sample .sample:client_stub.makeRequest_SendProcessedStream(zeroExtend(pack(sampleTag)),
    1.40 -                                                                         zeroExtend(pack(sample)));
    1.41 -     endcase
    1.42 +  //   case (pipelineData) matches
    1.43 + //      tagged EndOfFile: 
    1.44 +       client_stub.makeRequest_SendProcessedStream(zeroExtend(pack(endOfFileTag)),?);
    1.45 +//       tagged Sample .sample:client_stub.makeRequest_SendProcessedStream(zeroExtend(pack(sampleTag)), zeroExtend(pack(sample)));
    1.46 +//     endcase
    1.47     endrule
    1.48  
    1.49  endmodule