annotate AudioPipelineDefault.bsv @ 16:7e1510b47336 pygar svn.17

[svn r17] added rest of items for core
author punk
date Tue, 27 Apr 2010 22:54:50 -0400
parents
children
rev   line source
punk@16 1 // The MIT License
punk@16 2
punk@16 3 // Copyright (c) 2009 Massachusetts Institute of Technology
punk@16 4
punk@16 5 // Permission is hereby granted, free of charge, to any person obtaining a copy
punk@16 6 // of this software and associated documentation files (the "Software"), to deal
punk@16 7 // in the Software without restriction, including without limitation the rights
punk@16 8 // to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
punk@16 9 // copies of the Software, and to permit persons to whom the Software is
punk@16 10 // furnished to do so, subject to the following conditions:
punk@16 11
punk@16 12 // The above copyright notice and this permission notice shall be included in
punk@16 13 // all copies or substantial portions of the Software.
punk@16 14
punk@16 15 // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
punk@16 16 // IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
punk@16 17 // FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
punk@16 18 // AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
punk@16 19 // LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
punk@16 20 // OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
punk@16 21 // THE SOFTWARE.
punk@16 22
punk@16 23 // Author: Kermin Fleming kfleming@mit.edu
punk@16 24
punk@16 25 import Connectable::*;
punk@16 26 import GetPut::*;
punk@16 27 import ClientServer::*;
punk@16 28 import FIFO::*;
punk@16 29
punk@16 30 `include "asim/provides/audio_processor_types.bsh"
punk@16 31
punk@16 32 module mkAudioPipeline (AudioPipeline);
punk@16 33 FIFO#(AudioProcessorUnit) fifo <- mkFIFO;
punk@16 34
punk@16 35 interface sampleInput = fifoToPut(fifo);
punk@16 36 interface sampleOutput = fifoToGet(fifo);
punk@16 37
punk@16 38 endmodule