# HG changeset patch # User punk # Date 1273036149 14400 # Node ID ced2ebd41347e5dbd2ada2ca25c5c21fbb80bb7d # Parent 16ba43f0a7c39b60cd5ec096620bd7b6fff4d62f [svn r43] bunch of updates that almost work... diff -r 16ba43f0a7c3 -r ced2ebd41347 config/pm/Pygar/audio_core/audio_core_exe.apm --- a/config/pm/Pygar/audio_core/audio_core_exe.apm Tue May 04 22:32:52 2010 -0400 +++ b/config/pm/Pygar/audio_core/audio_core_exe.apm Wed May 05 01:09:09 2010 -0400 @@ -88,6 +88,7 @@ funcp_base_types=Functional Partition Base Types audio_pipe_types=Simple Audio Processor Control Types path_types=Audio Path Types +mixer=Mixer [Audio Processor Core/Requires] processor_library=External Trace Processor Library @@ -110,3 +111,6 @@ [Audio Path Types] File=modules/bluespec/Pygar/core/path_types.awb + +[Mixer] +File=modules/bluespec/Pygar/core/mixer.awb diff -r 16ba43f0a7c3 -r ced2ebd41347 modules/bluespec/Pygar/core/AudioCoreSystem.cpp --- a/modules/bluespec/Pygar/core/AudioCoreSystem.cpp Tue May 04 22:32:52 2010 -0400 +++ b/modules/bluespec/Pygar/core/AudioCoreSystem.cpp Wed May 05 01:09:09 2010 -0400 @@ -130,7 +130,6 @@ count++; sem_wait(&throttle); - printf("sending file\n"); //rlm: two files. // clientStub->SendUnprocessedStream( Data,(UINT32)sample); clientStub->SendUnprocessedStream((UINT32)channel0 , Data,(UINT32)sample); diff -r 16ba43f0a7c3 -r ced2ebd41347 modules/bluespec/Pygar/core/Processor.bsv --- a/modules/bluespec/Pygar/core/Processor.bsv Tue May 04 22:32:52 2010 -0400 +++ b/modules/bluespec/Pygar/core/Processor.bsv Wed May 05 01:09:09 2010 -0400 @@ -372,6 +372,7 @@ end tagged LUI .it : begin + $display("PROCESSOR Instr LUI\n"); Bit#(32) zext_it_imm = zext(it.imm); wbQ.enq(tagged WB_ALU {dest: it.rdst, data:(zext_it_imm << 32'd16) }); end @@ -456,13 +457,15 @@ end tagged JR .it : - begin + begin + $display("PROCESSOR inst JR\n"); newPC = rf.rd1(it.rsrc); branchTaken = True; end tagged JAL .it : begin + $display("PROCESSOR inst JAL\n"); wbQ.enq(tagged WB_ALU {dest:31, data:pc_plus4 }); newPC = { pc_plus4[31:28], it.target, 2'b0 }; branchTaken = True; @@ -580,13 +583,13 @@ cp0_audioEOF <= True; inAudioFifo.deq; endrule - +/* rule sendAudioEnd (cp0_progComp); $display (" PROCESSOR Says Program Complete "); outAudioFifo.enq(tagged EndOfFile); cp0_progComp <= False; //only send one. And functions to reset endrule - +*/ //----------------------------------------------------------- // Methods diff -r 16ba43f0a7c3 -r ced2ebd41347 modules/bluespec/Pygar/core/audioCorePipeline.bsv --- a/modules/bluespec/Pygar/core/audioCorePipeline.bsv Tue May 04 22:32:52 2010 -0400 +++ b/modules/bluespec/Pygar/core/audioCorePipeline.bsv Wed May 05 01:09:09 2010 -0400 @@ -37,6 +37,7 @@ `include "asim/provides/audio_pipe_types.bsh" //provides Audio Pipeline interface `include "asim/provides/path_types.bsh" `include "asim/provides/core.bsh" +//`include "asim/provides/mixer.bsh" `include "asim/provides/processor_library.bsh" `include "asim/provides/fpga_components.bsh" `include "asim/provides/scratchpad_memory.bsh" @@ -78,11 +79,13 @@ let coreReq <- core.mmem_client.request.get; case (coreReq) matches tagged LoadReq .load: begin + $display("PIPE Load Addr Req %x", load.addr); //Allocate ROB space memory.readReq(truncate(load.addr>>2)); tags.enq(load.tag); end - tagged StoreReq .store: begin + tagged StoreReq .store: begin + $display("PIPE Write Addr Req %x", store.addr); memory.write(truncate(store.addr>>2),store.data); end endcase @@ -93,6 +96,7 @@ tags.deq; core.mmem_client.response.put(tagged LoadResp {data:memResp, tag: tags.first}); + $display("PIPE Receive MemReq %x", memResp); endrule rule feedOutput; diff -r 16ba43f0a7c3 -r ced2ebd41347 modules/bluespec/Pygar/core/audio_core_pipe.awb --- a/modules/bluespec/Pygar/core/audio_core_pipe.awb Tue May 04 22:32:52 2010 -0400 +++ b/modules/bluespec/Pygar/core/audio_core_pipe.awb Wed May 05 01:09:09 2010 -0400 @@ -6,11 +6,11 @@ %requires audio_pipe_types %requires path_types %requires core +%requires mixer %requires funcp_simulated_memory %requires funcp_base_types %requires hasim_common - %attributes 6_375 %sources -t BSV -v PUBLIC audioCorePipeline.bsv @@ -19,7 +19,7 @@ %sources -t CPP -v PRIVATE AudioCoreRRR.cpp %sources -t H -v PUBLIC AudioCoreRRR.h %sources -t RRR -v PUBLIC AudioCoreRRR.rrr -%sources -t DICT -v PUBLIC AudioCore.dic +%sources -t DICT -v PUBLIC ProcessorSystem.dic %sources -t CPP -v PRIVATE SndfileWavUtil.cpp %sources -t H -v PUBLIC SndfileWavUtil.h