rlm@8: // The MIT License rlm@8: rlm@8: // Copyright (c) 2009 Massachusetts Institute of Technology rlm@8: rlm@8: // Permission is hereby granted, free of charge, to any person obtaining a copy rlm@8: // of this software and associated documentation files (the "Software"), to deal rlm@8: // in the Software without restriction, including without limitation the rights rlm@8: // to use, copy, modify, merge, publish, distribute, sublicense, and/or sell rlm@8: // copies of the Software, and to permit persons to whom the Software is rlm@8: // furnished to do so, subject to the following conditions: rlm@8: rlm@8: // The above copyright notice and this permission notice shall be included in rlm@8: // all copies or substantial portions of the Software. rlm@8: rlm@8: // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR rlm@8: // IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, rlm@8: // FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE rlm@8: // AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER rlm@8: // LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, rlm@8: // OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN rlm@8: // THE SOFTWARE. rlm@8: rlm@8: import Connectable::*; rlm@8: import GetPut::*; rlm@8: import ClientServer::*; rlm@8: rlm@8: //AWB includes rlm@8: `include "asim/provides/low_level_platform_interface.bsh" rlm@8: `include "asim/provides/soft_connections.bsh" rlm@8: `include "asim/provides/common_services.bsh" rlm@8: rlm@8: // Local includes rlm@8: `include "asim/provides/audio_processor_types.bsh" rlm@8: `include "asim/provides/audio_pipeline.bsh" rlm@8: rlm@8: `include "asim/rrr/remote_client_stub_AUDIOPROCESSORRRR.bsh" rlm@8: `include "asim/rrr/remote_server_stub_AUDIOPROCESSORRRR.bsh" rlm@8: rlm@8: rlm@8: module [CONNECTED_MODULE] mkConnectedApplication (); rlm@8: rlm@8: // Instantiate the rrr stubs rlm@8: ClientStub_AUDIOPROCESSORRRR client_stub <- mkClientStub_AUDIOPROCESSORRRR(); rlm@8: ServerStub_AUDIOPROCESSORRRR server_stub <- mkServerStub_AUDIOPROCESSORRRR(); rlm@8: rlm@8: // Instantiate the audio pipeline rlm@8: AudioPipeline pipeline <- mkAudioPipeline(); rlm@8: rlm@8: rule feedInput; rlm@8: let command <- server_stub.acceptRequest_SendUnprocessedStream(); rlm@8: AudioProcessorControl ctrl = unpack(truncate(command.ctrl)); rlm@8: rlm@8: if(ctrl == EndOfFile) rlm@8: begin rlm@8: pipeline.sampleInput.put(tagged EndOfFile); rlm@8: end rlm@8: else rlm@8: begin rlm@8: pipeline.sampleInput.put(tagged Sample unpack(truncate(command.sample))); rlm@8: end rlm@8: endrule rlm@8: rlm@8: rule feedOutput; rlm@8: let pipelineData <- pipeline.sampleOutput.get(); rlm@8: AudioProcessorControl endOfFileTag = EndOfFile; rlm@8: AudioProcessorControl sampleTag = Data; rlm@8: rlm@8: case (pipelineData) matches rlm@8: tagged EndOfFile: client_stub.makeRequest_SendProcessedStream(zeroExtend(pack(endOfFileTag)),?); rlm@8: tagged Sample .sample:client_stub.makeRequest_SendProcessedStream(zeroExtend(pack(sampleTag)), rlm@8: zeroExtend(pack(sample))); rlm@8: endcase rlm@8: endrule rlm@8: rlm@8: endmodule rlm@8: rlm@8: