rlm@8: import ClientServer::*; rlm@8: import GetPut::*; rlm@8: import FIFO::*; rlm@8: rlm@8: import AC97Common::*; rlm@8: rlm@8: module FIRFilter (Server#(AC97Sample)); rlm@8: FIFO#(AC97Sample) infifo <- mkFIFO; rlm@8: FIFO#(AC97Sample) outfifo <- mkFIFO; rlm@8: rlm@8: // for now, we don't do anything. rlm@8: rule connectReqResp; rlm@23: $display("\n\n\n\n\nFIRFILTER-FIR copies a data\n\n\n\n"); rlm@8: outfifo.enq(infifo.first); rlm@8: outfifo.deq; rlm@8: endrule rlm@8: rlm@8: rlm@8: interface request = fifoToPut(infifo); rlm@8: interface response = fifoToGet(outfifo); rlm@8: endmodule