punk@1: signature BFIFO where { punk@1: import ¶Assert®¶; punk@1: punk@1: import ¶FIFOF_®¶; punk@1: punk@1: import ¶FIFOF®¶; punk@1: punk@1: import ¶FIFO®¶; punk@1: punk@1: import ¶List®¶; punk@1: punk@1: BFIFO.mkBFIFO1 :: (¶Prelude®¶.¶Bits®¶ item_t item_sz, ¶Prelude®¶.¶IsModule®¶ _m__ _c__) => punk@1: _m__ (¶FIFO®¶.¶FIFO®¶ item_t); punk@1: punk@1: BFIFO.mkSizedBFIFO :: (¶Prelude®¶.¶Bits®¶ item_t item_sz, ¶Prelude®¶.¶IsModule®¶ _m__ _c__) => punk@1: ¶Prelude®¶.¶Integer®¶ -> _m__ (¶FIFO®¶.¶FIFO®¶ item_t); punk@1: punk@1: BFIFO.mkBFIFOF1 :: (¶Prelude®¶.¶Bits®¶ item_t item_sz, ¶Prelude®¶.¶IsModule®¶ _m__ _c__) => punk@1: _m__ (¶FIFOF®¶.¶FIFOF®¶ item_t); punk@1: punk@1: BFIFO.mkBFIFO_16 :: (¶Prelude®¶.¶IsModule®¶ _m__ _c__) => punk@1: _m__ (¶FIFO®¶.¶FIFO®¶ (¶Prelude®¶.¶Bit®¶ 16)) punk@1: }