view modules/bluespec/Pygar/core/AudioCore.dic @ 44:9b0dfce52c29 pygar svn.45

[svn r45] adding mixer
author punk
date Wed, 05 May 2010 12:30:18 -0400
parents 2c8166d205d5
children
line wrap: on
line source
1 def VDEV.SCRATCH.MEMORY "program.0/program.vmh";