view modules/bluespec/Pygar/lab4/FPGATypes.bsv @ 8:74716e9a81cc pygar svn.9

[svn r9] Pygar now has the proper directory structure to play nicely with awb. Also, the apm file for audio-core willcompile successfully.
author rlm
date Fri, 23 Apr 2010 02:32:05 -0400
parents
children
line wrap: on
line source
1 typedef 30 AvalonAddressWidth;
2 typedef 32 AvalonDataWidth;
4 // need length + 1 spacing between CBusGet/Puts
5 // Be warned - consider the word size of each address before
6 // assigning new ones!!!
7 // These are word addresses
8 // Multiply by 4 to get byte address
9 typedef 0 ToHostRegAddr;
10 typedef 4 FromHostRegAddr;
11 typedef 8 BreakpointRegAddr;
12 typedef 12 BreakpointClearedAddr;
13 typedef 16 PCRegAddr;
14 typedef 20 StatsEnRegAddr;
15 typedef 24 DCacheNumAccessesRegAddr;
16 typedef 28 DCacheNumMissesRegAddr;
17 typedef 32 DCacheNumWriteBacksRegAddr;
18 typedef 36 ICacheNumAccessesRegAddr;
19 typedef 40 ICacheNumMissesRegAddr;
20 typedef 44 ICacheNumWriteBacksRegAddr;
21 typedef 48 NumCyclesRegAddr;
22 typedef 52 NumInstRegAddr;
23 typedef 256 RegFileAddr; // The regfile is super long. Be careful of assigning conflicting addresses.