view modules/bluespec/Pygar/core/mixer.bsv @ 33:2c8166d205d5 pygar svn.34

[svn r34] uses scratchpad, set up for audio through c
author punk
date Tue, 04 May 2010 10:13:53 -0400
parents
children
line wrap: on
line source
1 // The MIT License
3 // Copyright (c) 2009 Massachusetts Institute of Technology
5 // Permission is hereby granted, free of charge, to any person obtaining a copy
6 // of this software and associated documentation files (the "Software"), to deal
7 // in the Software without restriction, including without limitation the rights
8 // to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9 // copies of the Software, and to permit persons to whom the Software is
10 // furnished to do so, subject to the following conditions:
12 // The above copyright notice and this permission notice shall be included in
13 // all copies or substantial portions of the Software.
15 // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16 // IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17 // FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18 // AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19 // LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20 // OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
21 // THE SOFTWARE.
23 import Connectable::*;
24 import GetPut::*;
25 import ClientServer::*;
26 import Processor::*;
27 import MemArb::*;
28 import MemTypes::*;
30 //AWB includes
31 `include "asim/provides/low_level_platform_interface.bsh"
32 `include "asim/provides/soft_connections.bsh"
33 `include "asim/provides/common_services.bsh"
35 // Local includes
36 `include "asim/provides/processor_library.bsh"
37 `include "asim/provides/audio_pipe_types.bsh"
38 `include "asim/provides/path_types.bsh"
40 interface PutMixerIn;
41 method Action sendVoiceIn(AudioStream voiceInput);
42 endinterface
44 interface Mixer#(Vector#(`MAX_VOICES, Volume));
45 interface PutMixerIn toMixer;
46 interface Get#(AudioProcessorUnit) mixerOut;
47 endinterface
50 module [CONNECTED_MODULE] mkMixer( Mixer#(Vector(`MAX_VOICES, Volume)));
51 // Instantiate the modules
52 Vector#(`MAX_VOICES, FIFO#(AudioPipeUnit)) voicesIn <- newVector();
53 Vector#(`MAX_VOICES, Bool) voiceEnded <- replicate(False);
55 FIFO#(AudioProcessorUnit) masterFifo <- mkFIFO();
57 rule processSample //implicit on all voiceFifos having data
58 endrule
60 // Internal connections
62 interface PutMixerIn toMixer;
63 method Action sendVoiceIn(AudioStream voiceInput);
64 voicesIn[voiceInput.voice].enq(voiceInput.data);
65 endmethod
67 interface Get mixerOut = fifoToGet(masterFifo);
69 endmodule