view modules/bluespec/Pygar/core/audioCorePipeline.bsv @ 33:2c8166d205d5 pygar svn.34

[svn r34] uses scratchpad, set up for audio through c
author punk
date Tue, 04 May 2010 10:13:53 -0400
parents 220c14f5963c
children 99519a031813
line wrap: on
line source
1 // The MIT License
3 // Copyright (c) 2009 Massachusetts Institute of Technology
5 // Permission is hereby granted, free of charge, to any person obtaining a copy
6 // of this software and associated documentation files (the "Software"), to deal
7 // in the Software without restriction, including without limitation the rights
8 // to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9 // copies of the Software, and to permit persons to whom the Software is
10 // furnished to do so, subject to the following conditions:
12 // The above copyright notice and this permission notice shall be included in
13 // all copies or substantial portions of the Software.
15 // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16 // IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17 // FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18 // AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19 // LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20 // OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
21 // THE SOFTWARE.
23 // Author: Kermin Fleming kfleming@mit.edu
25 import Connectable::*;
26 import GetPut::*;
27 import ClientServer::*;
28 import FIFO::*;
29 import SpecialFIFOs::*;
31 //AWB includes
32 `include "asim/provides/low_level_platform_interface.bsh"
33 `include "asim/provides/soft_connections.bsh"
34 `include "asim/provides/common_services.bsh"
36 //Local includes
37 `include "asim/provides/audio_pipe_types.bsh" //provides Audio Pipeline interface
38 `include "asim/provides/path_types.bsh"
39 `include "asim/provides/core.bsh"
40 `include "asim/provides/processor_library.bsh"
41 `include "asim/provides/fpga_components.bsh"
42 `include "asim/provides/scratchpad_memory.bsh"
43 `include "asim/provides/mem_services.bsh"
44 `include "asim/dict/VDEV_SCRATCH.bsh"
46 `include "asim/rrr/remote_client_stub_AUDIOCORERRR.bsh"
47 //`include "asim/rrr/remote_server_stub_AUDIOCORERRR.bsh"
49 module [CONNECTED_MODULE] mkConnectedApplication ();
50 Core core <- mkCore;
51 Reg#(int) cycle <- mkReg(0);
53 //External memory
54 // I'm not comfortable assuming that the memory subsystem is in order
55 // So I'll insert a completion buffer here.
56 MEMORY_IFC#(Bit#(18), Bit#(32)) memory <- mkScratchpad(`VDEV_SCRATCH_MEMORY, SCRATCHPAD_CACHED); //Services Memory items
58 // Services Samples
59 ClientStub_AUDIOCORERRR client_stub <- mkClientStub_AUDIOCORERRR();
60 // Make this big enough so that several outstanding requests may be supported
61 FIFO#(Bit#(MainMemTagSz)) tags <- mkSizedFIFO(8);
63 // this is for the tracing
64 rule printCycles;
65 cycle <= cycle+1;
66 $fdisplay(stderr, " => Cycle = %d", cycle);
67 endrule
69 rule sendMemReq;
70 let coreReq <- core.mmem_client.request.get;
71 case (coreReq) matches
72 tagged LoadReq .load: begin
73 //Allocate ROB space
74 memory.readReq(truncate(load.addr>>2));
75 tags.enq(load.tag);
76 end
77 tagged StoreReq .store: begin
78 memory.write(truncate(store.addr>>2),store.data);
79 end
80 endcase
81 endrule
83 rule receiveMemResp;
84 let memResp <- memory.readRsp();
85 tags.deq;
86 core.mmem_client.response.put(tagged LoadResp {data:memResp,
87 tag: tags.first});
88 endrule
90 rule feedOutput;
91 let pipelineData <- core.sampleOutput.get();
92 AudioProcessorControl endOfFileTag = EndOfFile;
93 AudioProcessorControl sampleTag = Data;
95 case (pipelineData) matches
96 tagged EndOfFile:
97 client_stub.makeRequest_SendProcessedStream(zeroExtend(pack(endOfFileTag)),?);
98 tagged Sample .sample:client_stub.makeRequest_SendProcessedStream(zeroExtend(pack(sampleTag)), zeroExtend(pack(sample)));
99 endcase
100 endrule
102 endmodule