diff modules/bluespec/Pygar/core/audioCorePipeline.bsv~ @ 13:6d461680c6d9 pygar svn.14

[svn r14] more stuff
author punk
date Tue, 27 Apr 2010 09:03:28 -0400
parents
children a1833d9f6e3d
line wrap: on
line diff
     1.1 --- /dev/null	Thu Jan 01 00:00:00 1970 +0000
     1.2 +++ b/modules/bluespec/Pygar/core/audioCorePipeline.bsv~	Tue Apr 27 09:03:28 2010 -0400
     1.3 @@ -0,0 +1,94 @@
     1.4 +// The MIT License
     1.5 +
     1.6 +// Copyright (c) 2009 Massachusetts Institute of Technology
     1.7 +
     1.8 +// Permission is hereby granted, free of charge, to any person obtaining a copy
     1.9 +// of this software and associated documentation files (the "Software"), to deal
    1.10 +// in the Software without restriction, including without limitation the rights
    1.11 +// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
    1.12 +// copies of the Software, and to permit persons to whom the Software is
    1.13 +// furnished to do so, subject to the following conditions:
    1.14 +
    1.15 +// The above copyright notice and this permission notice shall be included in
    1.16 +// all copies or substantial portions of the Software.
    1.17 +
    1.18 +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
    1.19 +// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
    1.20 +// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
    1.21 +// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
    1.22 +// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
    1.23 +// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
    1.24 +// THE SOFTWARE.
    1.25 +
    1.26 +// Author: Kermin Fleming kfleming@mit.edu
    1.27 +
    1.28 +import Connectable::*;
    1.29 +import GetPut::*;
    1.30 +import ClientServer::*;
    1.31 +import FIFO::*;
    1.32 +
    1.33 +//AWB includes
    1.34 +`include "asim/provides/low_level_platform_interface.bsh"
    1.35 +`include "asim/provides/soft_connections.bsh"
    1.36 +`include "asim/provides/common_services.bsh"
    1.37 +
    1.38 +//Local includes
    1.39 +`include "asim/provides/audio_processor_types.bsh"  //provides Audio Pipeline interface
    1.40 +`include "asim/provides/core.bsh"
    1.41 +
    1.42 +`include "asim/rrr/remote_client_stub_AUDIOCORERRR.bsh"
    1.43 +`include "asim/rrr/remote_server_stub_AUDIOCORERRR.bsh"
    1.44 +
    1.45 +module [CONNECTED_MODULE] mkConnectedApplication ();
    1.46 +   Core core <- mkCore;
    1.47 +   Reg#(int) cycle <- mkReg(0);
    1.48 +
    1.49 +  //External memory 
    1.50 +  // I'm not comfortable assuming that the memory subsystem is in order  
    1.51 +  // So I'll insert a completion buffer here.  
    1.52 +  ClientStub_PROCESSORSYSTEMRRR client_stub <- mkClientStub_PROCESSORSYSTEMRRR();   
    1.53 +  // Make this big enough so that several outstanding requests may be supported
    1.54 +  FIFO#(Bit#(MainMemTagSz)) tags <- mkSizedFIFO(8);
    1.55 +
    1.56 +  // this is for the tracing
    1.57 +  rule printCycles;
    1.58 +    cycle <= cycle+1;
    1.59 +    $fdisplay(stderr, " => Cycle = %d", cycle);
    1.60 +  endrule
    1.61 +
    1.62 +  rule sendMemReq;
    1.63 +    let coreReq <- core.mmem_client.request.get;
    1.64 +    case (coreReq) matches 
    1.65 +      tagged LoadReq .load: begin
    1.66 +                              //Allocate ROB space
    1.67 +                              client_stub.makeRequest_MemoryRequestLoad(load.addr);
    1.68 +                              tags.enq(load.tag);
    1.69 +                            end
    1.70 +      tagged StoreReq .store: begin
    1.71 +                                client_stub.makeRequest_MemoryRequestStore(store.addr,store.data);
    1.72 +                              end
    1.73 +    endcase
    1.74 +  endrule
    1.75 +  
    1.76 +  rule receiveMemResp;
    1.77 +    let memResp <- client_stub.getResponse_MemoryRequestLoad();
    1.78 +    tags.deq;
    1.79 +    core.mmem_client.response.put(tagged LoadResp {data:memResp,
    1.80 +                                                   tag: tags.first});
    1.81 +  endrule
    1.82 +
    1.83 +  // this isn't particularly correct as it doesn't actually connect the processor interfaces, but this should allow me to verify the data path before fully blending the two items together.
    1.84 +
    1.85 +   rule feedOutput;
    1.86 +     let pipelineData <- core.sampleOutput.get();
    1.87 +     AudioProcessorControl endOfFileTag = EndOfFile;
    1.88 +     AudioProcessorControl sampleTag = Data;
    1.89 +
    1.90 +     case (pipelineData) matches
    1.91 +       tagged EndOfFile: client_stub.makeRequest_SendProcessedStream(zeroExtend(pack(endOfFileTag)),?);
    1.92 +       tagged Sample .sample:client_stub.makeRequest_SendProcessedStream(zeroExtend(pack(sampleTag)),
    1.93 +                                                                         zeroExtend(pack(sample)));
    1.94 +     endcase
    1.95 +   endrule
    1.96 +
    1.97 +endmodule