diff modules/bluespec/Pygar/lab4/ProcessorSystemRRR.rrr @ 8:74716e9a81cc pygar svn.9

[svn r9] Pygar now has the proper directory structure to play nicely with awb. Also, the apm file for audio-core willcompile successfully.
author rlm
date Fri, 23 Apr 2010 02:32:05 -0400
parents
children
line wrap: on
line diff
     1.1 --- /dev/null	Thu Jan 01 00:00:00 1970 +0000
     1.2 +++ b/modules/bluespec/Pygar/lab4/ProcessorSystemRRR.rrr	Fri Apr 23 02:32:05 2010 -0400
     1.3 @@ -0,0 +1,15 @@
     1.4 +service PROCESSORSYSTEMRRR
     1.5 +{
     1.6 +    server hw (bsv, connection) <- sw (cpp, method)
     1.7 +    {
     1.8 +        method ReadCPUToHost  (out UINT32[32] regValue, in UINT32[32] dummy);
     1.9 +        method WriteHostToCPU (in UINT32[32] regValue);
    1.10 +    };
    1.11 +
    1.12 +    server sw (cpp, method) <- hw (bsv, connection) 
    1.13 +    {
    1.14 +       method MemoryRequestLoad  (in UINT32[32] address, out UINT32[32] value);
    1.15 +       method MemoryRequestStore (in UINT32[32] address, in UINT32[32] value);
    1.16 +    };
    1.17 +
    1.18 + };