comparison modules/bluespec/Pygar/lab4/core.awb @ 8:74716e9a81cc pygar svn.9

[svn r9] Pygar now has the proper directory structure to play nicely with awb. Also, the apm file for audio-core willcompile successfully.
author rlm
date Fri, 23 Apr 2010 02:32:05 -0400
parents
children 50af57801d6e
comparison
equal deleted inserted replaced
7:7393cd19371e 8:74716e9a81cc
1 %name Simple Processor Core
2 %desc Instantiates a processor, some caches, and a memory arbiter
3
4 %provides core
5
6 %requires mem_arb
7 %requires instruction_cache
8 %requires data_cache
9 %requires processor
10 %requires processor_library
11
12 %attributes 6_375
13
14 %public Core.bsv
15
16