annotate core/sim/bdir_dut/SFIFO.bi @ 39:5a30f173bbac pygar svn.40

[svn r40] no longer cpu terminating dependent
author punk
date Tue, 04 May 2010 19:32:25 -0400
parents 91a1f76ddd62
children
rev   line source
punk@1 1 signature SFIFO where {
punk@1 2 import ¶ConfigReg®¶;
punk@1 3
punk@1 4 import ¶FIFOF_®¶;
punk@1 5
punk@1 6 import ¶FIFOF®¶;
punk@1 7
punk@1 8 import ¶FIFO®¶;
punk@1 9
punk@1 10 import ¶List®¶;
punk@1 11
punk@1 12 import ¶Monad®¶;
punk@1 13
punk@1 14 import ¶RWire®¶;
punk@1 15
punk@1 16 interface (SFIFO.SFIFO :: * -> * -> *) alpha_T search_T = {
punk@1 17 SFIFO.enq :: alpha_T -> ¶Prelude®¶.¶Action®¶ {-# arg_names = [x] #-};
punk@1 18 SFIFO.deq :: ¶Prelude®¶.¶Action®¶ {-# arg_names = [] #-};
punk@1 19 SFIFO.first :: alpha_T {-# arg_names = [] #-};
punk@1 20 SFIFO.clear :: ¶Prelude®¶.¶Action®¶ {-# arg_names = [] #-};
punk@1 21 SFIFO.find :: search_T -> ¶Prelude®¶.¶Bool®¶ {-# arg_names = [x] #-};
punk@1 22 SFIFO.find2 :: search_T -> ¶Prelude®¶.¶Bool®¶ {-# arg_names = [x] #-}
punk@1 23 };
punk@1 24
punk@1 25 instance SFIFO (¶Prelude®¶.¶PrimMakeUndefined®¶ alpha_T) =>
punk@1 26 ¶Prelude®¶.¶PrimMakeUndefined®¶ (SFIFO.SFIFO alpha_T search_T);
punk@1 27
punk@1 28 instance SFIFO (¶Prelude®¶.¶PrimDeepSeqCond®¶ alpha_T) =>
punk@1 29 ¶Prelude®¶.¶PrimDeepSeqCond®¶ (SFIFO.SFIFO alpha_T search_T);
punk@1 30
punk@1 31 instance SFIFO (¶Prelude®¶.¶PrimMakeUninitialized®¶ alpha_T) =>
punk@1 32 ¶Prelude®¶.¶PrimMakeUninitialized®¶ (SFIFO.SFIFO alpha_T search_T);
punk@1 33
punk@1 34 SFIFO.mkSFIFO :: (¶Prelude®¶.¶Bits®¶ alpha_T asz, ¶Prelude®¶.¶IsModule®¶ _m__ _c__) =>
punk@1 35 (search_T -> alpha_T -> ¶Prelude®¶.¶Bool®¶) -> _m__ (SFIFO.SFIFO alpha_T search_T);
punk@1 36
punk@1 37 SFIFO.mkSFIFO1 :: (¶Prelude®¶.¶Eq®¶ alpha_T,
punk@1 38 ¶Prelude®¶.¶Bits®¶ alpha_T asz,
punk@1 39 ¶Prelude®¶.¶IsModule®¶ _m__ _c__) =>
punk@1 40 (search_T -> alpha_T -> ¶Prelude®¶.¶Bool®¶) -> _m__ (SFIFO.SFIFO alpha_T search_T);
punk@1 41
punk@1 42 SFIFO.mkSizedSFIFOInternal :: (¶Prelude®¶.¶Bits®¶ alpha_T alpha_SZ,
punk@1 43 ¶Prelude®¶.¶IsModule®¶ _m__ _c__) =>
punk@1 44 ¶Prelude®¶.¶Integer®¶ ->
punk@1 45 (search_T -> alpha_T -> ¶Prelude®¶.¶Bool®¶) ->
punk@1 46 (search_T -> alpha_T -> ¶Prelude®¶.¶Bool®¶) -> _m__ (SFIFO.SFIFO alpha_T search_T);
punk@1 47
punk@1 48 SFIFO.mkSizedSFIFO :: (¶Prelude®¶.¶Bits®¶ alpha_T asz, ¶Prelude®¶.¶IsModule®¶ _m__ _c__) =>
punk@1 49 ¶Prelude®¶.¶Integer®¶ ->
punk@1 50 (search_T -> alpha_T -> ¶Prelude®¶.¶Bool®¶) -> _m__ (SFIFO.SFIFO alpha_T search_T)
punk@1 51 }